Global interconnect sizing and spacing with consideration of coupling capacitance

合集下载

计算机专业英语翻译

计算机专业英语翻译

国家计算机教育认证 计算机英语计算机英语词汇对译蒙阴高新电脑学校资料整理:孙波2010年9月1日IT CF AC gaoxindiannaoxuexiao⏹PC personal computer 个人计算机⏹IBM International Business Machine 美国国际商用机器公司的公司简称,是最早推出的个人计算机品牌。

⏹Intel 美国英特尔公司,以生产CPU芯片著称。

⏹Pentium Intel公司生产的586 CPU芯片,中文译名为“奔腾”。

⏹Address地址⏹Agents代理⏹Analog signals模拟信号⏹Applets程序⏹Asynchronous communications port异步通信端口⏹Attachment附件⏹Access time存取时间⏹access存取⏹accuracy准确性⏹ad network cookies广告网络信息记录软件⏹Add-ons 插件⏹Active-matrix主动矩阵⏹Adapter cards适配卡⏹Advanced application高级应用⏹Analytical graph分析图表⏹Analyze分析⏹Animations动画⏹Application software 应用软件⏹Arithmetic operations算术运算⏹Audio-output device音频输出设备⏹Basic application基础程序⏹Binary coding schemes二进制译码方案⏹Binary system二进制系统⏹Bit比特⏹Browser浏览器⏹Bus line总线⏹Backup tape cartridge units备份磁带盒单元⏹Business-to-consumer企业对消费者⏹Bar code条形码⏹Bar code reader条形码读卡器⏹Bus总线⏹Bandwidth带宽⏹Bluetooth蓝牙⏹Broadband宽带⏹Business-to-business企业对企业电子商务⏹cookies-cutter programs信息记录截取程序⏹cookies信息记录程序⏹cracker解密高手⏹cumulative trauma disorder积累性损伤错乱⏹Cybercash电子现金⏹Cyberspace计算机空间⏹cynic愤世嫉俗者⏹Cables连线⏹Cell单元箱⏹Chain printer链式打印机⏹Character and recognition device字符标识识别设备⏹Chart图表⏹Chassis支架⏹Chip芯片⏹Clarity清晰度⏹Closed architecture封闭式体系结构⏹Column列⏹Combination key结合键⏹computer competency计算机能力⏹connectivity连接,结点⏹Continuous-speech recognition system连续语言识别系统⏹Channel信道⏹Chat group谈话群组⏹chlorofluorocarbons(CFCs) ]氯氟甲烷⏹Client客户端⏹Coaxial cable同轴电缆⏹cold site冷网站⏹Commerce servers商业服务器⏹Communication channel信道⏹Communication systems信息系统⏹Compact disc rewritable⏹Compact disc光盘⏹computer abuse amendments act of 19941994计算机滥用法案⏹computer crime计算机犯罪⏹computer ethics计算机道德⏹computer fraud and abuse act of 1986计算机欺诈和滥用法案⏹computer matching and privacy protection act of 1988计算机查找和隐私保护法案⏹Computer network计算机网络⏹computer support specialist计算机支持专家⏹computer technician计算机技术人员⏹computer trainer计算机教师⏹Connection device连接设备⏹Connectivity连接⏹Consumer-to-consumer个人对个人⏹Control unit操纵单元⏹Cordless or wireless mouse无线鼠标⏹Cable modems有线调制解调器⏹carpal tunnel syndrome腕骨神经综合症⏹CD-ROM可记录光盘⏹CD-RW可重写光盘⏹CD-R可记录压缩光盘⏹Disk磁碟⏹Distributed data processing system分部数据处理系统⏹Distributed processing分布处理⏹Domain code域代码⏹Downloading下载⏹DVD 数字化通用磁盘⏹DVD-R 可写DVD⏹DVD-RAM DVD随机存取器⏹DVD-ROM 只读DVD⏹Database数据库⏹database files数据库文件⏹Database manager数据库管理⏹Data bus数据总线⏹Data projector数码放映机⏹Desktop system unit台式电脑系统单元⏹Destination file目标文件⏹Dumb terminal非智能终端⏹data security数据安全⏹Data transmission specifications数据传输说明⏹database administrator数据库管理员⏹Dataplay数字播放器⏹Demodulation解调⏹denial of service attack拒绝服务攻击⏹Dial-up service拨号服务⏹Digital cash数字现金⏹Digital signals数字信号⏹Digital subscriber line数字用户线路⏹Digital versatile disc数字化通用磁盘⏹Digital video disc数字化视频光盘⏹Direct access直接存取⏹Directory search目录搜索⏹disaster recovery plan灾难恢复计划⏹Disk caching磁盘驱动器高速缓存⏹Diskette磁盘⏹Digital cameras数码照相机⏹Digital notebooks数字笔记本⏹Digital bideo camera数码摄影机⏹Discrete-speech recognition system不连续语言识别系统⏹Document文档⏹document files文档文件⏹Dot-matrix printer点矩阵式打印机⏹Dual-scan monitor双向扫描显示器⏹environment环境⏹Erasable optical disks可擦除式光盘⏹ergonomics人类工程学⏹ethics道德规范⏹External modem外置调制解调器⏹extranet企业外部网⏹e-book电子阅读器⏹Expansion cards扩展卡⏹electronic commerce电子商务⏹electronic communications privacy act of1986电子通信隐私法案⏹encrypting加密术⏹energy star能源之星⏹Enterprise computing企业计算化⏹end user终端用户⏹e-cash电子现金⏹e-commerce电子商务⏹electronic cash电子现金⏹Floppy-disk cartridge磁盘盒⏹Formatting格式化⏹freedom of information act of 1970信息自由法案⏹frequency频率⏹frustrated受挫折⏹Full-duplex communication全双通通信⏹Fax machine传真机⏹Field域⏹Find搜索⏹FireWire port火线端口⏹Firmware固件⏹Flash RAM闪存⏹Flatbed scanner台式扫描器⏹Flat-panel monitor纯平显示器⏹floppy disk软盘⏹filter过滤⏹firewall防火墙⏹firewall防火墙⏹Fixed disk固定硬盘⏹Flash memory闪存⏹Flexible disk可折叠磁盘⏹Floppies磁盘⏹Formatting toolbar格式化工具条⏹Formula公式⏹Function函数⏹fair credit reporting act of 1970公平信用报告法案⏹Fiber-optic cable光纤电缆⏹File compression文件压缩⏹File decompression文件解压缩⏹green pc绿色个人计算机⏹Grop by 排序⏹General-purpose application通用运用程序⏹Gigahertz千兆赫⏹Graphic tablet绘图板⏹Hard-disk pack硬盘组⏹Head crash磁头碰撞⏹header标题⏹help desk specialist帮助办公专家⏹helper applications帮助软件⏹Hierarchical network层次型网络⏹history file历史文件⏹handheld computer手提电脑⏹Hard copy硬拷贝⏹hard disk硬盘⏹hardware硬件⏹Help帮助⏹hits匹配记录⏹horizontal portal横向用户⏹hot site热网站⏹Hybrid network混合网络⏹Host computer主机⏹Home page主页⏹Hyperlink超链接⏹hacker黑客⏹Half-duplex communication半双通通信⏹Hard-disk cartridge硬盘盒⏹information pushers信息推送器⏹initializing 初始化⏹instant messaging计时信息⏹internal hard disk内置硬盘⏹Internet hard drive 网络硬盘驱动器⏹intranet企业内部网⏹Image capturing device图像获取设备⏹information technology信息技术⏹Ink-jet printer墨水喷射印刷机⏹Integrated package综合性组件⏹Intelligent terminal智能终端设备⏹Intergrated circuit集成电路⏹Interface cards接口卡⏹illusion of anonymity匿名幻想⏹index search索引搜索⏹Internal modem内部调制解调器⏹internet telephony网络电话⏹internet terminal互联网终端⏹Identification识别⏹drive网络硬盘驱动器⏹joystick操纵杆⏹keyword search关键字搜索⏹laser printer激光打印机⏹Layout files版式文件⏹Light pen光笔⏹Locate定位⏹lurking潜伏⏹Logical operations逻辑运算⏹Lands凸面⏹Line of sight communication视影通信⏹Low bandwidth低带宽计算机英语名词解释⏹ADIMM(Advanced Dual In-line Memory Modules,高级双重内嵌式内存模块)⏹AMR(Audio/Modem Riser,音效/调制解调器主机板附加直立插卡)⏹AHA(Accelerated Hub Architecture,加速中心架构)⏹ASK IR(Amplitude Shift Keyed Infra-Red,长波形可移动输入红外线)⏹ATX(AT Extend,扩展型AT)⏹BIOS(Basic Input/Output System,基本输入/输出系统)⏹CSE(Configuration Space Enable,可分配空间)⏹DB(Device Bay,设备插架)⏹DMI(Desktop Management Interface,桌面管理接口)⏹EB(Expansion Bus,扩展总线)⏹EISA(Enhanced Industry Standard Architecture,增强形工业标准架构)⏹EMI(Electromagnetic Interference,电磁干扰)⏹ESCD(Extended System Configuration Data,可扩展系统配置数据)⏹FBC(Frame Buffer Cache,帧缓冲缓存)⏹FireWire(火线,即IEEE1394标准)⏹FSB(Front Side Bus,前置总线,即外部总线)⏹FWH(Firmware Hub,固件中心)⏹GMCH(Graphics & Memory Controller Hub,图形和内存控制中心)⏹GPIs(General Purpose Inputs,普通操作输入)⏹ICH(Input/Output Controller Hub,输入/输出控制中心)⏹IR(Infrared Ray,红外线)⏹IrDA(Infrared Ray,红外线通信接口可进行局域网存取和文件共享)⏹ISA(Industry Standard Architecture,工业标准架构)⏹ISA(Instruction Set Architecture,工业设置架构)⏹MDC(Mobile Daughter Card,移动式子卡)⏹MRH-R(Memory Repeater Hub,内存数据处理中心)⏹MRH-S(SDRAM Repeater Hub,SDRAM数据处理中心)⏹MTH(Memory Transfer Hub,内存转换中心)⏹NGIO(Next Generation Input/Output,新一代输入/输出标准)⏹P64H(64-bit PCI Controller Hub,64位PCI控制中心)⏹PCB(Printed Circuit Board,印刷电路板)⏹PCBA(Printed Circuit Board Assembly,印刷电路板装配)⏹PCI(Peripheral Component Interconnect,互连外围设备)⏹PCI SIG(Peripheral Component Interconnect Special Interest Group,互连外围设备专业组)⏹POST(Power On Self Test,加电自测试)⏹RNG(Random number Generator,随机数字发生器)⏹RTC(Real Time Clock,实时时钟)⏹KBC(KeyBroad Control,键盘控制器)⏹SAP(Sideband Address Port,边带寻址端口)⏹SBA(Side Band Addressing,边带寻址)⏹SMA(Share Memory Architecture,共享内存结构)⏹STD(Suspend To Disk,磁盘唤醒)⏹STR(Suspend To RAM,内存唤醒)⏹SVR(Switching V oltage Regulator,交换式电压调节)⏹USB(Universal Serial Bus,通用串行总线)⏹USDM(Unified System Diagnostic Manager,统一系统监测管理器)⏹VID(Voltage Identification Definition,电压识别认证)⏹VRM (V oltage Regulator Module,电压调整模块)⏹ZIF(Zero Insertion Force ,零插力)⏹主板技术⏹ACOPS(Automatic CPU OverHeat Prevention System,CPU过热预防系统)⏹SIV(System Information Viewer,系统信息观察)⏹ESDJ(Easy Setting Dual Jumper,简化CPU双重跳线法)⏹UPT(USB、PANEL、LINK、TV-OUT四重接口)⏹芯片组⏹ACPI(Advanced Configuration and Power Interface,先进设置和电源管理)⏹AGP(Accelerated Graphics Port,图形加速接口)⏹I/O(Input/Output,输入/输出)⏹MIOC(Memory and I/O Bridge Controller,内存和I/O桥控制器)⏹NBC(North Bridge Chip,北桥芯片)⏹PIIX(PCI ISA/IDE Accelerator,加速器)⏹PSE36(Page Size Extension 36-bit,36位页面尺寸扩展模式)⏹PXB(PCI Expander Bridge,PCI增强桥)⏹RCG(RAS/CAS Generator,RAS/CAS发生器)⏹SBC(South Bridge Chip,南桥芯片)⏹SMB(System Management Bus,全系统管理总线)⏹SPD(Serial Presence Detect,内存内部序号检测装置)⏹SSB(Super South Bridge,超级南桥芯片)⏹TDP(Triton Data Path,数据路径)⏹TSC(Triton System Controller,系统控制器)⏹QPA(Quad Port Acceleration,四接口加速)⏹ASIC(Application Specific Integrated Circuit,特殊应用积体电路)⏹ASC(Auto-Sizing and Centering,自动调效屏幕尺寸和中心位置)⏹ASC(Anti Static Coatings,防静电涂层)⏹AGAS(Anti Glare Anti Static Coatings,防强光、防静电涂层)⏹BLA(Bearn Landing Area,电子束落区)⏹BMC(Black Matrix Screen,超黑矩阵屏幕)⏹CRC(Cyclical Redundancy Check,循环冗余检查)⏹CRT(Cathode Ray Tube,阴极射线管)⏹DDC(Display Data Channel,显示数据通道)⏹DEC(Direct Etching Coatings,表面蚀刻涂层)⏹DFL(Dynamic Focus Lens,动态聚焦)⏹DFS(Digital Flex Scan,数字伸缩扫描)⏹DIC(Digital Image Control,数字图像控制)⏹Digital Multiscan II(数字式智能多频追踪)⏹DLP(Digital Light Processing,数字光处理)⏹DOSD(Digital On Screen Display,同屏数字化显示)⏹DPMS(Display Power Management Signalling,显示能源管理信号)⏹Dot Pitch(点距)⏹DQL(Dynamic Quadrapole Lens,动态四极镜)⏹DSP(Digital Signal Processing,数字信号处理)⏹EFEAL(Extended Field Elliptical Aperture Lens,可扩展扫描椭圆孔镜头)⏹FRC(Frame Rate Control,帧比率控制)⏹HVD(High Voltage Differential,高分差动)⏹LCD(liquid crystal display,液晶显示屏)⏹LCOS(Liquid Crystal On Silicon,硅上液晶)⏹LED(light emitting diode,光学二级管)⏹L-SAGIC(Low Power-Small Aperture G1 wiht Impregnated Cathode,低电压光圈阴极管)⏹LVD(Low Voltage Differential,低分差动)⏹LVDS(Low V oltage Differential Signal,低电压差动信号)⏹MALS(Multi Astigmatism Lens System,多重散光聚焦系统)⏹MDA(Monochrome Adapter,单色设备)⏹MS(Magnetic Sensors,磁场感应器)⏹Porous Tungsten(活性钨)⏹RSDS(Reduced Swing Differential Signal,小幅度摆动差动信号)⏹SC(Screen Coatings,屏幕涂层)⏹Single Ended(单终结)⏹Shadow Mask(阴罩式)⏹TDT(Timeing Detection Table,数据测定表)⏹TICRG(Tungsten Impregnated Cathode Ray Gun,钨传输阴级射线枪)⏹TFT(Thin Film Transistor,薄膜晶体管)⏹UCC(Ultra Clear Coatings,超清晰涂层)⏹V AGP(Variable Aperature Grille Pitch,可变间距光栅)⏹VBI(Vertical Blanking Interval,垂直空白间隙)⏹VDT(Video Display Terminals,视频显示终端)⏹VRR(Vertical Refresh Rate,垂直扫描频率)计算机函数数据库#include <iostream.h>class Myclas{private:int m-number;publicvoid setNumber(int number){m-number = number;}int getNumber(){return m-number}};void showMe(){cout<<"我是一个类"<<endl;}};void main (){Myclass mc;//mc.m_number=10;mc.setNumber(10);cout<<mc.showMe()<<endl;}⏹AGP(Accelerated Graphics Port) -图形加速接口⏹Access Time-存取时间⏹Address-地址⏹ANSI (American National Standards Institute) 美国国家标准协会⏹ASCII (American Standard Code for Information Interchange)⏹Async SRAM-异步静态内存⏹BSB (Backside Bus)⏹Bandwidth-带宽⏹Bank -内存库⏹Bank Schema -存储体规划⏹Base Rambus -初级的Rambus内存⏹Baud -波特⏹BGA (Ball Grid Array)-球状引脚栅格阵列封装技术⏹Binary -二进制⏹BIOS (Basic Input-Output System) -基本输入/输出系统⏹Bit-位、比特⏹BLP-底部引出塑封技术⏹Buffer-缓冲区⏹Buffered Memory-带缓冲的内存⏹BEDO (Burst EDO RAM) -突发模式EDO随机存储器⏹Burst Mode-突发模式⏹Bus-总线⏹Bus Cycle-总线周期⏹Byte-字节⏹Cacheability-高速缓存能力⏹Cache Memory-高速缓存存储器⏹CAS (Column Address Strobe)-列地址选通脉冲⏹CL(CAS Latency )-列地址选通脉冲时间延迟⏹CDRAM (Cache DRAM)-快取动态随机存储器⏹Checksum-检验和,校验和⏹Chipset-芯片组⏹Chip-Scale Package (CSP)-芯片级封装⏹Compact Flash-紧凑式闪存⏹Concurrent Rambus-并发式总线式内存⏹Continuity RIMM (C-RIMM)-连续性总线式内存模组⏹CMOS(Complementary Metal-Oxide-Semicomductor)-互补金属氧化物半导体用于晶体管⏹CPU (Central Processing Unit)-中央处理单元⏹Credit Card Memory -信用卡内存⏹DDR(Double Data Rate SDRAM)-双数据输出同步动态存储器。

专业术语中英文对照表计算机专业

专业术语中英文对照表计算机专业

1、CPU3DNow!(3D no waiting,无须等待的3D处理)AAM(AMD Analyst Meeting,AMD分析家会议)ABP(Advanced Branch Prediction,高级分支预测)ACG(Aggressive Clock Gating,主动时钟选择)AIS(Alternate Instruction Set,交替指令集)ALAT(advanced load table,高级载入表)ALU(Arithmetic Logic Unit,算术逻辑单元)Aluminum(铝)AGU(Address Generation Units,地址产成单元)APC(Advanced Power Control,高级能源控制)APIC(Advanced rogrammable Interrupt Controller,高级可编程中断控制器)APS(Alternate Phase Shifting,交替相位跳转)ASB(Advanced System Buffering,高级系统缓冲)ATC(Advanced Transfer Cache,高级转移缓存)ATD(Assembly Technology Development,装配技术发展)BBUL(Bumpless Build-Up Layer,内建非凹凸层)BGA(Ball Grid Array,球状网阵排列)BHT(branch prediction table,分支预测表)Bops(Billion Operations Per Second,10亿操作/秒)BPU(Branch Processing Unit,分支处理单元)BP(Brach Pediction,分支预测)BSP(Boot Strap Processor,启动捆绑处理器)BTAC(Branch Target Address Calculator,分支目标寻址计算器)CBGA (Ceramic Ball Grid Array,陶瓷球状网阵排列)CDIP (Ceramic Dual-In-Line,陶瓷双重直线)Center Processing Unit Utilization,中央处理器占用率CFM(cubic feet per minute,立方英尺/秒)CMT(course-grained multithreading,过程消除多线程)CMOS(Complementary Metal Oxide Semiconductor,互补金属氧化物半导体)CMOV(conditional move instruction,条件移动指令)CISC(Complex Instruction Set Computing,复杂指令集计算机)CLK(Clock Cycle,时钟周期)CMP(on-chip multiprocessor,片内多重处理)CMS(Code Morphing Software,代码变形软件)co-CPU(cooperative CPU,协处理器)COB(Cache on board,板上集成缓存,做在CPU卡上的二级缓存,通常是内核的一半速度))COD(Cache on Die,芯片内核集成缓存)Copper(铜)CPGA(Ceramic Pin Grid Array,陶瓷针型栅格阵列)CPI(cycles per instruction,周期/指令)CPLD(Complex Programmable Logic Device,複雜可程式化邏輯元件)CPU(Center Processing Unit,中央处理器)CRT(Cooperative Redundant Threads,协同多余线程)CSP(Chip Scale Package,芯片比例封装)CXT(Chooper eXTend,增强形K6-2内核,即K6-3)Data Forwarding(数据前送)dB(decibel,分贝)DCLK(Dot Clock,点时钟)DCT(DRAM Controller,DRAM控制器)DDT(Dynamic Deferred Transaction,动态延期处理)Decode(指令解码)DIB(Dual Independent Bus,双重独立总线)DMT(Dynamic Multithreading Architecture,动态多线程结构)DP(Dual Processor,双处理器)DSM(Dedicated Stack Manager,专门堆栈管理)DSMT(Dynamic Simultaneous Multithreading,动态同步多线程)DST(Depleted Substrate Transistor,衰竭型底层晶体管)DTV(Dual Threshold Voltage,双重极限电压)DUV(Deep Ultra-Violet,纵深紫外光)EBGA(Enhanced Ball Grid Array,增强形球状网阵排列)EBL(electron beam lithography,电子束平版印刷)EC(Embedded Controller,嵌入式控制器)EDEC(Early Decode,早期解码)Embedded Chips(嵌入式)EPA(edge pin array,边缘针脚阵列)EPF(Embedded Processor Forum,嵌入式处理器论坛)EPL(electron projection lithography,电子发射平版印刷)EPM(Enhanced Power Management,增强形能源管理)EPIC(explicitly parallel instruction code,并行指令代码)EUV(Extreme Ultra Violet,紫外光)EUV(extreme ultraviolet lithography,极端紫外平版印刷)FADD(Floationg Point Addition,浮点加)FBGA(Fine-Pitch Ball Grid Array,精细倾斜球状网阵排列)FBGA(flipchip BGA,轻型芯片BGA)FC-BGA(Flip-Chip Ball Grid Array,反转芯片球形栅格阵列)FC-LGA(Flip-Chip Land Grid Array,反转接点栅格阵列)FC-PGA(Flip-Chip Pin Grid Array,反转芯片针脚栅格阵列)FDIV(Floationg Point Divide,浮点除)FEMMS:Fast Entry/Exit Multimedia State,快速进入/退出多媒体状态FFT(fast Fourier transform,快速热欧姆转换)FGM(Fine-Grained Multithreading,高级多线程)FID(FID:Frequency identify,频率鉴别号码)FIFO(First Input First Output,先入先出队列)FISC(Fast Instruction Set Computer,快速指令集计算机)flip-chip(芯片反转)FLOPs(Floating Point Operations Per Second,浮点操作/秒)FMT(fine-grained multithreading,纯消除多线程)FMUL(Floationg Point Multiplication,浮点乘)FPRs(floating-point registers,浮点寄存器)FPU(Float Point Unit,浮点运算单元)FSUB(Floationg Point Subtraction,浮点减)GFD(Gold finger Device,金手指超频设备)GHC(Global History Counter,通用历史计数器)GTL(Gunning Transceiver Logic,射电收发逻辑电路)GVPP(Generic Visual Perception Processor,常规视觉处理器)HL-PBGA: 表面黏著,高耐热、轻薄型塑胶球状网阵封装HTT(Hyper-Threading Technology,超级线程技术)Hz(hertz,赫兹,频率单位)IA(Intel Architecture,英特尔架构)IAA(Intel Application Accelerator,英特尔应用程序加速器)ICU(Instruction Control Unit,指令控制单元)ID(identify,鉴别号码)IDF(Intel Developer Forum,英特尔开发者论坛)IEU(Integer Execution Units,整数执行单元)IHS(Integrated Heat Spreader,完整热量扩展)ILP(Instruction Level Parallelism,指令级平行运算)IMM: Intel Mobile Module, 英特尔移动模块Instructions Cache,指令缓存Instruction Coloring(指令分类)IOPs(Integer Operations Per Second,整数操作/秒)IPC(Instructions Per Clock Cycle,指令/时钟周期)ISA(instruction set architecture,指令集架构)ISD(inbuilt speed-throttling device,内藏速度控制设备)ITC(Instruction Trace Cache,指令追踪缓存)ITRS(International Technology Roadmap for Semiconductors,国际半导体技术发展蓝图)KNI(Katmai New Instructions,Katmai新指令集,即SSE)Latency(潜伏期)LDT(Lightning Data Transport,闪电数据传输总线)LFU(Legacy Function Unit,传统功能单元)LGA(land grid array,接点栅格阵列)LN2(Liquid Nitrogen,液氮)Local Interconnect(局域互连)MAC(multiply-accumulate,累积乘法)mBGA (Micro Ball Grid Array,微型球状网阵排列)nm(namometer,十亿分之一米/毫微米)MCA(machine check architecture,机器检查体系)MCU(Micro-Controller Unit,微控制器单元)MCT(Memory Controller,内存控制器)MESI(Modified, Exclusive, Shared, Invalid:修改、排除、共享、废弃)MF(MicroOps Fusion,微指令合并)mm(micron metric,微米)MMX(MultiMedia Extensions,多媒体扩展指令集)MMU(Multimedia Unit,多媒体单元)MMU(Memory Management Unit,内存管理单元)MN(model numbers,型号数字)MFLOPS(Million Floationg Point/Second,每秒百万个浮点操作)MHz(megahertz,兆赫)mil(PCB 或晶片佈局的長度單位,1 mil = 千分之一英寸)MIPS(Million Instruction Per Second,百万条指令/秒)MOESI(Modified, Owned, Exclusive, Shared or Invalid,修改、自有、排除、共享或无效)MOF(Micro Ops Fusion,微操作熔合)Mops(Million Operations Per Second,百万次操作/秒)MP(Multi-Processing,多重处理器架构)MPF(Micro processor Forum,微处理器论坛)MPU(Microprocessor Unit,微处理器)MPS(MultiProcessor Specification,多重处理器规范)MSRs(Model-Specific Registers,特别模块寄存器)MSV(Multiprocessor Specification Version,多处理器规范版本)NAOC(no-account OverClock,无效超频)NI(Non-Intel,非英特尔)NOP(no operation,非操作指令)NRE(Non-Recurring Engineering charge,非重複性工程費用)OBGA(Organic Ball Grid Arral,有机球状网阵排列)OCPL(Off Center Parting Line,远离中心部分线队列)OLGA(Organic Land Grid Array,有机平面网阵包装)OoO(Out of Order,乱序执行)OPC(Optical Proximity Correction,光学临近修正)OPGA(Organic Pin Grid Array,有机塑料针型栅格阵列)OPN(Ordering Part Number,分类零件号码)PAT(Performance Acceleration Technology,性能加速技术)PBGA(Plastic Pin Ball Grid Array,塑胶球状网阵排列)PDIP (Plastic Dual-In-Line,塑料双重直线)PDP(Parallel Data Processing,并行数据处理)PGA(Pin-Grid Array,引脚网格阵列),耗电大PLCC (Plastic Leaded Chip Carriers,塑料行间芯片运载)Post-RISC(加速RISC,或后RISC)PR(Performance Rate,性能比率)PIB(Processor In a Box,盒装处理器)PM(Pseudo-Multithreading,假多线程)PPGA(Plastic Pin Grid Array,塑胶针状网阵封装)PQFP(Plastic Quad Flat Package,塑料方块平面封装)PSN(Processor Serial numbers,处理器序列号)QFP(Quad Flat Package,方块平面封装)QSPS(Quick Start Power State,快速启动能源状态)RAS(Return Address Stack,返回地址堆栈)RAW(Read after Write,写后读)REE(Rapid Execution Engine,快速执行引擎)Register Contention(抢占寄存器)Register Pressure(寄存器不足)Register Renaming(寄存器重命名)Remark(芯片频率重标识)Resource contention(资源冲突)Retirement(指令引退)RISC(Reduced Instruction Set Computing,精简指令集计算机)ROB(Re-Order Buffer,重排序缓冲区)RSE(register stack engine,寄存器堆栈引擎)RTL(Register Transfer Level,暫存器轉換層。

与internet有关的英语单词短语积累

与internet有关的英语单词短语积累

Multimedia(多媒体,指计算机能综合处理声音、图像、影像、动画、文字等多种媒体)CD(Compact Disk,光盘,分为只读光盘和可刻录光盘)CDR(Compact Disk Recordable,可刻录光盘)VCD(Video CD,视频CD)Audio(音频)Video(视频)MPEG(Moving picture expert Group,运动图像专家组,一种压缩比率较大的活动图像和声音的压缩标准)BMP(Bitmap,位图,一种图像格式)Image(图像)Pixel(像素,图像的一个点)WAV(Wave,声波,一种声音格式)MIDI(Musical Instrument Digital Interface,乐器数字接口,声卡上有这种接口,用于与乐器相连)Modem(调制解调器,也称“猫”,用于把电话音频信号变成数字信号)Net(Network,网络)WAN(Wide area network,广域网,指地理上跨越较大范围的跨地区网)LAN(Local area network,局域网,地理上局限在小范围,属于一个单位组建的网)Internet(互联网、因特网、网际网)Server(服务器,网络的核心,信息的集中地)Client(客户,指使用计算机的用户)C/S(Client/Server,客户机/服务器)B/S(Browser/Server,浏览器/服务器,指客户通过浏览器访问服务器的信息)Workstation(工作站,连到服务器的单个计算机)WWW(World Wide Web,万维网,全球范围的节点)BBS(Bulletin Board System,电子布告栏系统)FTP(File Transfer Protocol,文件传送协议,用此协议用户通过Internet将一台计算机上的文件传送到另一台计算机上)HTTP(Hypertext TransferProtocol,超文本传输协议 WWW服务程序所用的协议)HTML(Home Page Marker Language,主页标记语言,用于浏览器浏览显示)Hub(网络集线器,提供许多计算机连接的端口)Router(路由器,互联网的标准设备,具有判断网络地址、选择路径、实现网络互联的功能)Gateway(网关)TCP/IP(Transfer ControlProtocol/Internet Protocol,传输控制/互联网协议)NDS(Domain Name System,域名服务系统)e-mail(Electronic Mail,电子邮件)(Commerce,商业部门的域名).edu(Education,教育部门的域名)(网络服务部门的域名).org(Organization,非商业组织的域名).gov(Government,政府部门的域名)@(电子邮件中用户名与域名的分隔符,读音为at)Optics(光的,Fiber optics 光纤)ISDN(Integrated Services DigitalNetwork,综合服务数字网)DDN(Defense Data Service,数字数据服务)Bandwidth(带宽,网络线路的传输速度)Broad(Band 宽带,可同时在多个通道容纳数据,音像信号)Hacker(黑客,专门在互联网上到处从事解密、获取信息等非正规活动的不明身份的用户)计算机英语基础词汇:PC(Personal Computer,个人计算机)IBM(International Business Machine,美国国际商用机器公司简称,最早的个人计算机品牌)Intel(美国英特尔公司,以生产CPU 芯片著称)Pentium(Intel公司,X86 CPU芯片,中文译名为“奔腾”)IT(Information Technology,信息产业)E-Commerce Eelectronic Business (电子商务)B2C(Business To Customer,商家对顾客, 电子商务的一种模式,还有B2C、C2C模式)Y2K(2k year,两千年问题,千年虫)IC(Integrate Circuit,集成电路)VLSI(Very Large Scale Integration,超大规模集成电路)DIY(Do It Yourself,自己装配计算机)Bit(比特,一个二进制位,通信常用的单位)Byte(字节,由八个二进制位组成,是计算机中表示存储空间的最基本容量单位)K(千,存储空间的容量单位,kilobyte,1K=1024字节)M(兆,megabyte,1M=1024K)G(吉,gigabyte,1G=1024M)T(太,1T=1024G)Binary(二进制,计算机中用的记数制,有0、1两个数字)ASCII(American Standard Code forInformation Interchange,美国信息交换标准代码,成为了一个为世界计算机使用的通用标准)CAI(Computer-AssistedInstruction,计算机辅助教学)CAD(Computer-Aided Design,计算机辅助设计)CAM(Computer-AidedManufacturing,计算机辅助制造)AI(Artificial Intelligence,人工智能)Program(程序,由控制计算机运行的指令组成)Driver(驱动程序或驱动器)Compatibility(兼容,指电脑的通用性)PnP(Plug and Play,即插既用,指计算机器件一装上就可以用)Hardware(硬件,构成计算机的器件)Software(软件,计算机上运行的程序)Courseware(课件,用于教学的软件)计算机术语之硬件篇相关词汇:CPU(Central Processing Unit,中央处理器,计算机的心脏)Memory(存储器,内存)ROM(Read only Memory,只读存储器,只能读不能写)RAM(Random Access Memory,随机存取存储器,内存属于这种存储器)Bus(总线,计算机中信息的路BR>ISA(Industry Standard Architecture,工业标准结构总线)VESA(Video Electronic StandardAssociation,视频电子标准协会的标准总线)PCI(Peripheral ComponentInterconnect,外部互联总线标准)USB(Universal Serial Bus,Intel,公司开发的通用串行总线架构)SCSI(Small Computer System Interface,小型计算机系统接口)AGP(Accelerate Graphics Processor,加速图形接口)Mouse(鼠标,俗称“鼠”)Keyboard(键盘)CRT(Cathode Ray Tube,阴极射线管,常指显示屏)LCD(Liquid Crystal Display,液晶显示屏)VGA(Video Graphics Array,视频图形阵列,一种显示卡)Resolution(分辨率)Printer(打印机)Scanner(扫描仪)Floppy Disk(软盘)Fixed Disk, Hard Disk(硬盘)CD(Compact Disk,光盘)Adapter(适配器(卡),俗称“卡”,如声卡、显示卡)UPS(Uninterruptible Power System,不间断电源)LPT(Line Printer,打印口,并行口)DPI(Dots Per Inch,每英寸点数,指打印机的分辨率)CPS(Characters Per Second,每秒字符数)PPM(Pages Per Minute,每分钟打印页数)计算机术语之软件篇相关词汇:OS(Operating System,计算机操作系统,计算机中必不可少的软件)DOS(Disk Operating System,磁盘操作系统)Windows NT(一种操作系统,NT Newtechnology,新技术)Linux(一种可免费使用的UNIX操作系统,运行于一般的PC机上,由Linux开发而得此名)BASIC(Beginner's All-PurposeSymbolic Instruction Code,初学者通用符号指令代码,一种计算机语言,适合于初学者,不要把BASIC当作Basic)Visual BASIC(可视化BASIC语言)Database(数据库)ESC(Escape,退出键)Tab(Table,制表键)Shift(上档键,用于输入双字符键上面部分的字符和在大(小)写字符状态输入小(大)写字符)Ctrl(Control,控制键)Alt(Alter,转换键)Insert,Delete(插入、删除)Home,End(编辑文书时用于回到文书开头、结尾的键)Page Up,Page Down(向前、后翻页键)Num lock(数字锁定键)Scroll lock(屏幕滚动锁定键)Enter(确认键、也有回车换行的作用)Click(点击鼠标)Cut(剪切,指将文本或图形剪切到内存)Copy(复制、拷贝)Paste(粘贴,将剪切、复制到内存的内容粘贴出来)Debug(程序排错,bug意为小虫子,比喻隐藏在程序中的小错误)Virus(计算机病毒,计算机中自我复制传播的程序)Backup(备份)计算机术语之通讯游戏篇相关词汇:通信cti:computer telephone integration,计算机电话综合技术dbs: direct broadcast satellite,直接卫星广播dwdm: dense wavelength division multiplex,波长密集型复用技术mmds: multichannel multipoint distribution service,多波段多点分发服务pcm: pulse code modulation,脉冲编码调制pstn(public switched telephone network,公用交换式电话网)tapi: telephony application programming interface,电话应用程序接口tsapi: telephony services application programming interface,电话服务应用程序接口wdm: wavelength division multiplex,波分多路复用游戏act(action,动作类游戏)arpg(action role play games,动作角色扮演游戏)avg(adventure genre,冒险类游戏)dan(dance,跳舞类游戏,包括跳舞机、吉它机、打鼓机等)dc(dreamcast,世嘉64位游戏机)etc(etc,其它类游戏,包括模拟飞行)ffj: force feedback joystick(力量反匮式操纵杆)fpp(first person game,第一人称游戏)ftg(fighting game,格斗类游戏)gb(game boy,任天堂4位手提游戏机)gbc(game boy color,任天堂手提16色游戏机)gg(game gear,世嘉彩色手提游戏机)fc(famicom,任天堂8位游戏机)fps(frames per second,帧/秒)fr(frames rate,游戏运行帧数)mac(macintosh,苹果电脑)n64(nintendo 64,任天堂64位游戏机)sfc(super famicom,超级任天堂16位游戏机)slg(simulation game,模拟类游戏)spg(sports games,运动类游戏)srpg(strategies role play games,战略角色扮演游戏)stg(shoot game,射击类游戏)ss(sega saturn,世嘉土星32位游戏机)pc(personal computer,个人计算机)ps(play station,索尼32位游戏机)ps(pocket station,索尼手提游戏机)rac(race,赛车类游戏)rts(real time strategies,实时战略)rpg(role play games,角色扮演游戏)tab(table chess,桌棋类游戏计算机术语之服务器篇相关词汇:c2c: card-to-card interleaving,卡到卡交错存取cc-numa(cache-coherent nonuniform memory access,连贯缓冲非统一内存寻址)chrp(common hardware referenceplatform,共用硬件平台,ibm为powerpc制定的标准,可以兼容mac os, windowsnt, solaris, os/2, linux和aix等多种操作系统)emp: emergency management port,紧急事件管理端口icmb: inter-chassis management bus, 内部管理总线mpp(massive parallel processing,巨量平行处理架构)mux: data path multiplexor,多重路径数据访问计算机术语之电脑显示器篇相关词汇:ASIC: Application Specific Integrated Circuit(特殊应用积体电路)ASC(Auto-Sizing and Centering,自动调效屏幕尺寸和中心位置)ASC(Anti Static Coatings,防静电涂层)AGAS(Anti Glare Anti Static Coatings,防强光、防静电涂层)BLA: Bearn Landing Area(电子束落区)BMC(Black Matrix Screen,超黑矩阵屏幕)CRC: Cyclical Redundancy Check(循环冗余检查)CRT(Cathode Ray Tube,阴极射线管)DDC:Display Data Channel,显示数据通道DEC(Direct Etching Coatings,表面蚀刻涂层)DFL(Dynamic Focus Lens,动态聚焦)DFS(Digital Flex Scan,数字伸缩扫描)DIC: Digital Image Control(数字图像控制)Digital Multiscan II(数字式智能多频追踪)DLP(digital Light Processing,数字光处理)DOSD: Digital On Screen Display(同屏数字化显示)DPMS(Display Power ManagementSignalling,显示能源管理信号)Dot Pitch(点距)DQL(Dynamic Quadrapole Lens,动态四极镜)DSP(Digital Signal Processing,数字信号处理)EFEAL(Extended Field EllipticalAperture Lens,可扩展扫描椭圆孔镜头)FRC: Frame Rate Control(帧比率控制)HVD(High Voltage Differential,高分差动)LCD(liquid crystal display,液晶显示屏)LCOS: Liquid Crystal On Silicon(硅上液晶)LED(light emitting diode,光学二级管)L-SAGIC(Low Power-Small ApertureG1 wiht Impregnated Cathode,低电压光圈阴极管)LVD(Low Voltage Differential,低分差动)LVDS: Low Voltage DifferentialSignal(低电压差动信号)MALS(Multi Astigmatism LensSystem,多重散光聚焦系统)MDA(Monochrome Adapter,单色设备)MS: Magnetic Sensors(磁场感应器)Porous Tungsten(活性钨)RSDS: Reduced Swing DifferentialSignal(小幅度摆动差动信号)SC(Screen Coatings,屏幕涂层)Single Ended(单终结)Shadow Mask(阴罩式)TDT(Timeing Detection Table,数据测定表)TICRG: Tungsten Impregnated Cathode Ray Gun(钨传输阴级射线枪)TFT(thin film transistor,薄膜晶体管)UCC(Ultra Clear Coatings,超清晰涂层)VAGP: Variable Aperature Grille Pitch(可变间距光栅)VBI: Vertical Blanking Interval (垂直空白间隙)VDT(Video Display Terminals,视频显示终端)VRR: Vertical Refresh Rate(垂直扫描频率)“相关拓展:菜鸟”和“大虾”菜鸟,形容一个人上网很“菜”,用来比喻网络新手,英文中的对应词是newbie;大虾,谐音自大侠,形容网络高手,英文中的对应词是knowbie,表示 a knowledgeable and experienced Internet user.值得一提的是这两组词在各自语言中都有比较一致的相关性,中文中的“菜鸟”和“大虾”戏谑成分较重,适合以文字体现,口语中广泛流传的可能性不大,而英文中的“newbie”和“knowbie”音节少,口语中发音简单易懂,拼写起来形象易记,含义上可以扩展到互联网外的其他场合,具备广泛的群众基础,已经出现在各大正式媒体中了。

MBA电子商务Topic2

MBA电子商务Topic2

2
Global Grid: everyone and everything becomes connected?! Opportunities?! Challenges?! (see
reading 2.3)
3
The Internet of Things: An Emerging Business Trend(see reading 2.4)
12
(Turban06, reading 2.1)
13
The Hourglass Model of the Internet
(Laudon & Traver 2012)
14
What to more about Internet?


See the article “ How internet infrastructure works” at: /internetinfrastructure.htm/printable Also refer to reading 2.3 of Global Grid and reading 2.4 of The Internet of Things for emerging trends and internet development around the world.

HTML
16

Wired Internet limitations
The Internet2® Project



Consortium of 330 member institutions collaborating to facilitate revolutionary Internet technologies Primary goals: Create leading-edge very-high speed network for national research community Enable revolutionary Internet applications Distributed and collaborative computing environments for sciences, health, arts and humanities initiatives. Find More Information on Internet2 Project at: .

计算机术语大全(很好的哦)

计算机术语大全(很好的哦)

计算机术语大全1、CPU3DNow!(3D no waiting,无须等待的3D处理)AAM(AMD Analyst Meeting,AMD分析家会议)ABP(Advanced Branch Prediction,高级分支预测)ACG(Aggressive Clock Gating,主动时钟选择)AIS(Alternate Instruction Set,交替指令集)ALAT(advanced load table,高级载入表)ALU(Arithmetic Logic Unit,算术逻辑单元)Aluminum(铝)AGU(Address Generation Units,地址产成单元)APC(Advanced Power Control,高级能源控制)APIC(Advanced rogrammable Interrupt Controller,高级可编程中断控制器)APS(Alternate Phase Shifting,交替相位跳转)ASB(Advanced System Buffering,高级系统缓冲)ATC(Advanced Transfer Cache,高级转移缓存)ATD(Assembly Technology Development,装配技术发展)BBUL(Bumpless Build-Up Layer,内建非凹凸层)BGA(Ball Grid Array,球状网阵排列)BHT(branch prediction table,分支预测表)Bops(Billion Operations Per Second,10亿操作秒)BPU(Branch Processing Unit,分支处理单元)BP(Brach Pediction,分支预测)BSP(Boot Strap Processor,启动捆绑处理器)BTAC(Branch Target Address Calculator,分支目标寻址计算器)CBGA (Ceramic Ball Grid Array,陶瓷球状网阵排列)CDIP (Ceramic Dual-In-Line,陶瓷双重直线)Center Processing Unit Utilization,中央处理器占用率CFM(cubic feet per minute,立方英尺秒)CMT(course-grained multithreading,过程消除多线程)CMOS(Complementary Metal Oxide Semiconductor,互补金属氧化物半导体)CMOV(conditional move instruction,条件移动指令)CISC(Complex Instruction Set Computing,复杂指令集计算机)CLK(Clock Cycle,时钟周期)CMP(on-chip multiprocessor,片内多重处理)CMS(Code Morphing Software,代码变形软件)co-CPU(cooperative CPU,协处理器)COB(Cache on board,板上集成缓存,做在CPU卡上的二级缓存,通常是内核的一半速度))COD(Cache on Die,芯片内核集成缓存)Copper(铜)CPGA(Ceramic Pin Grid Array,陶瓷针型栅格阵列)CPI(cycles per instruction,周期指令)CPLD(Complex Programmable Logic Device,複雜可程式化邏輯元件)CPU(Center Processing Unit,中央处理器)CRT(Cooperative Redundant Threads,协同多余线程)CSP(Chip Scale Package,芯片比例封装)CXT(Chooper eXTend,增强形K6-2内核,即K6-3)Data Forwarding(数据前送)dB(decibel,分贝)DCLK(Dot Clock,点时钟)DCT(DRAM Controller,DRAM控制器)DDT(Dynamic Deferred Transaction,动态延期处理)Decode(指令解码)DIB(Dual Independent Bus,双重独立总线)DMT(Dynamic Multithreading Architecture,动态多线程结构)DP(Dual Processor,双处理器)DSM(Dedicated Stack Manager,专门堆栈管理)DSMT(Dynamic Simultaneous Multithreading,动态同步多线程)DST(Depleted Substrate Transistor,衰竭型底层晶体管)DTV(Dual Threshold Voltage,双重极限电压)DUV(Deep Ultra-Violet,纵深紫外光)EBGA(Enhanced Ball Grid Array,增强形球状网阵排列)EBL(electron beam lithography,电子束平版印刷)EC(Embedded Controller,嵌入式控制器)EDB(Execute Disable Bit,执行禁止位)EDEC(Early Decode,早期解码)Embedded Chips(嵌入式)EM64T(Extended Memory 64 Technology,扩展内存64技术)EPA(edge pin array,边缘针脚阵列)EPF(Embedded Processor Forum,嵌入式处理器论坛)EPL(electron projection lithography,电子发射平版印刷)EPM(Enhanced Power Management,增强形能源管理)EPIC(explicitly parallel instruction code,并行指令代码)EUV(Extreme Ultra Violet,紫外光)EUV(extreme ultraviolet lithography,极端紫外平版印刷)FADD(Floationg Point Addition,浮点加)FBGA(Fine-Pitch Ball Grid Array,精细倾斜球状网阵包装)FBGA(flipchip BGA,轻型芯片BGA)FC-BGA(Flip-Chip Ball Grid Array,翻转芯片球形网阵包装)FC-LGA(Flip-Chip Land Grid Array,翻转接点网阵包装)FC-PGA(Flip-Chip Pin Grid Array,翻转芯片球状网阵包装)FDIV(Floationg Point Divide,浮点除)FEMMS:Fast EntryExit Multimedia State,快速进入退出多媒体状态FFT(fast Fourier transform,快速热欧姆转换)FGM(Fine-Grained Multithreading,高级多线程)FID(FID:Frequency identify,频率鉴别号码)FIFO(First Input First Output,先入先出队列)FISC(Fast Instruction Set Computer,快速指令集计算机)flip-chip(芯片反转)FLOPs(Floating Point Operations Per Second,浮点操作秒)FMT(fine-grained multithreading,纯消除多线程)FMUL(Floationg Point Multiplication,浮点乘)FPRs(floating-point registers,浮点寄存器)FPU(Float Point Unit,浮点运算单元)FSUB(Floationg Point Subtraction,浮点减)GFD(Gold finger Device,金手指超频设备)GHC(Global History Counter,通用历史计数器)GTL(Gunning Transceiver Logic,射电收发逻辑电路)GVPP(Generic Visual Perception Processor,常规视觉处理器)HL-PBGA 表面黏著,高耐热、轻薄型塑胶球状网阵封装HTT(Hyper-Threading Technology,超级线程技术)Hz(hertz,赫兹,频率单位)IA(Intel Architecture,英特尔架构)IAA(Intel Application Accelerator,英特尔应用程序加速器)IATM(Intel Advanced Thermal Manager,英特尔高级热量管理指令集)ICU(Instruction Control Unit,指令控制单元)ID(identify,鉴别号码)IDF(Intel Developer Forum,英特尔开发者论坛)IDMB(Intel Digital Media Boost,英特尔数字媒体推进指令集)IDPC(Intel Dynamic Power Coordination,英特尔动态能源调和指令集)IEU(Integer Execution Units,整数执行单元)IHS(Integrated Heat Spreader,完整热量扩展)ILP(Instruction Level Parallelism,指令级平行运算)IMM Intel Mobile Module, 英特尔移动模块Instructions Cache,指令缓存Instruction Coloring(指令分类)IOPs(Integer Operations Per Second,整数操作秒)IPC(Instructions Per Clock Cycle,指令时钟周期)ISA(instruction set architecture,指令集架构)ISD(inbuilt speed-throttling device,内藏速度控制设备)ITC(Instruction Trace Cache,指令追踪缓存)ITRS(International Technology Roadmap for Semiconductors,国际半导体技术发展蓝图)KNI(Katmai New Instructions,Katmai新指令集,即SSE)Latency(潜伏期)LDT(Lightning Data Transport,闪电数据传输总线)LFU(Legacy Function Unit,传统功能单元)LGA(land grid array,接点栅格阵列)LN2(Liquid Nitrogen,液氮)Local Interconnect(局域互连)MAC(multiply-accumulate,累积乘法)mBGA (Micro Ball Grid Array,微型球状网阵排列)nm(namometer,十亿分之一米毫微米)MCA(Machine Check Architecture,机器检查架构)MCU(Micro-Controller Unit,微控制器单元)MCT(Memory Controller,内存控制器)MESI(Modified, Exclusive, Shared, Invalid:修改、排除、共享、废弃)MF(MicroOps Fusion,微指令合并)mm(micron metric,微米)MMX(MultiMedia Extensions,多媒体扩展指令集)MMU(Multimedia Unit,多媒体单元)MMU(Memory Management Unit,内存管理单元)MN(model numbers,型号数字)MFLOPS(Million Floationg PointSecond,每秒百万个浮点操作)MHz(megahertz,兆赫)mil(PCB 或晶片佈局的長度單位,1 mil = 千分之一英寸)MIMD(Multi Instruction Multiple Data,多指令多数据流)MIPS(Million Instruction Per Second,百万条指令秒)MOESI(Modified, Owned, Exclusive, Shared or Invalid,修改、自有、排除、共享或无效)MOF(Micro Ops Fusion,微操作熔合)Mops(Million Operations Per Second,百万次操作秒)MP(Multi-Processing,多重处理器架构)MPF(Micro processor Forum,微处理器论坛)MPU(Microprocessor Unit,微处理器)MPS(MultiProcessor Specification,多重处理器规范)MSRs(Model-Specific Registers,特别模块寄存器)MSV(Multiprocessor Specification Version,多处理器规范版本)MVP(Mobile Voltage Positioning,移动电压定位)IVNAOC(no-account OverClock,无效超频)NI(Non-Intel,非英特尔)NOP(no operation,非操作指令)NRE(Non-Recurring Engineering charge,非重複性工程費用)OBGA(Organic Ball Grid Arral,有机球状网阵排列)OCPL(Off Center Parting Line,远离中心部分线队列)OLGA(Organic Land Grid Array,有机平面网阵包装)OoO(Out of Order,乱序执行)OPC(Optical Proximity Correction,光学临近修正)OPGA(Organic Pin Grid Array,有机塑料针型栅格阵列)OPN(Ordering Part Number,分类零件号码)PAT(Performance Acceleration Technology,性能加速技术)PBGA(Plastic Pin Ball Grid Array,塑胶球状网阵排列)PDIP (Plastic Dual-In-Line,塑料双重直线)PDP(Parallel Data Processing,并行数据处理)PGA(Pin-Grid Array,引脚网格阵列),耗电大PLCC (Plastic Leaded Chip Carriers,塑料行间芯片运载)Post-RISC(加速RISC,或后RISC)PPE(Power Processor Element,Power处理器元件)PPU(Physics Processing Unit,物理处理单元)PR(Performance Rate,性能比率)PIB(Processor In a Box,盒装处理器)PM(Pseudo-Multithreading,假多线程)PPGA(Plastic Pin Grid Array,塑胶针状网阵封装)PQFP(Plastic Quad Flat Package,塑料方块平面封装)PSN(Processor Serial numbers,处理器序列号)QFP(Quad Flat Package,方块平面封装)QSPS(Quick Start Power State,快速启动能源状态)RAS(Return Address Stack,返回地址堆栈)RAW(Read after Write,写后读)REE(Rapid Execution Engine,快速执行引擎)Register Contention(抢占寄存器)Register Pressure(寄存器不足)Register Renaming(寄存器重命名)Remark(芯片频率重标识)Resource contention(资源冲突)Retirement(指令引退)RISC(Reduced Instruction Set Computing,精简指令集计算机)ROB(Re-Order Buffer,重排序缓冲区)RSE(register stack engine,寄存器堆栈引擎)RTL(Register Transfer Level,暫存器轉換層。

利用训练序列的OFDM系统定时同步算法RobustTimingSynchronization..

利用训练序列的OFDM系统定时同步算法RobustTimingSynchronization..

利用训练序列的OFDM系统定时同步算法胡畅华杨明武合肥工业大学电子科学与应用物理学院,合肥230009摘要:在OFDM系统中,定时同步的好坏严重影响到接收端的接收。

通过对各种已有定时同步方法的分析,利用CAZAC序列的良好特性,提出一种基于CAZAC训练序列的定时同步方法。

改进后的算法能够很好地改善原有经典算法的峰值平台及测量不精确的问题。

通过高斯白噪声信道仿真,证明了改进算法在定时同步方面较经典算法有明显提高。

正交频分复用;定时估计;CAZAC序列;同步算法TN92 A1004-3365(2011)05-0722-03Robust Timing Synchronization Algorithm for OFDM System  Using Training Sequence HU ChanghuaYANG Mingwu2011-01-172011-02-193 定时同步检测算法@@[1] 佟学俭,罗涛.OFDM移动通信技术原理与应用 [M].北京:人民邮电出版社,2003:83-116.@@[2]尹长川,罗涛,乐新光.多载波宽带无线通信技术 [M].北京:北京邮电大学出版社,2004:13-19,42-70.@@[3] CHU D C. Polyphase codes with good periodic corre lation properties [J]. IEEE Trans Inform Theo,1972, 18(4): 531-532.@@[4] POPOVIC B M. Generalized chirp-like polyphase se quences with optimum correlation properties [J]. IEEE Trans Infor Theo, 1992, 38(4) : 1406-1409.@@[5] FRANK R L, ZADOFF S A. Phase shift pulse codes with good periodic correlation properties [J]. IEEE Trans Inform Theory, 1962, 8(6): 381-382.@@[6] LI L, ZHOU P. Synchronization for B3G MIMO OFDM in DL_ initial acquisition by CAZAC sequence [C] // IEEE Int Conf Commtn Circ Syst Proc. Gui lin, China. 2006, 2: 1035-1039.@@[7] SCHMIDL T M, COX D C. Robust frequency and timing synchronization for OFDM [J]. IEEE Trans Commun, 1997, 45(12): 1613-1621.@@[8] MINN H, ZENG M, BHARGAVA V K. On timing offset estimation for OFDM system [J]. IEEE Com mun Lett, 2000, 4(7): 242-244. 胡畅华(1986-),女(汉族),重庆人,硕士研究生,研究方向为集成电路设计与工艺技术。

光伏电站的电网改造和继电保护规划与设计

光伏电站的电网改造和继电保护规划与设计

Grid-connected photovoltaic power systems: Technical and potential problems—A review?Renewable and Sustainable Energy ReviewsTraditional electric power systems are designed in large part to utilize large baseload power plants, with limited ability to rapidly ramp output or reduce output below a certain level. The increase in demand variability created by intermittent sources such as photovoltaic (PV) presents new challenges to increase system flexibility. This paper aims to investigate and emphasize the importance of the grid-connected PV system regarding the intermittent nature of renewable generation, and the characterization of PV generation with regard to grid code compliance. The investigation was conducted to critically review the literature on expected potential problems associated with high penetration levels and islanding prevention methods of grid tied PV. According to the survey, PV grid connection inverters have fairly good performance. They have high conversion efficiency and power factor exceeding 90% for wide operating range, while maintaining current harmonics THD less than 5%. Numerous large-scale projects are currently being commissioned, with more planned for the near future. Prices of both PV and balance of system components (BOS) are decreasing which will lead to further increase in use. The technical requirements from the utility power system side need to be satisfied to ensure the safety of the PV installer and the reliability of the utility grid. Identifying the technical requirements for grid interconnection and solving the interconnect problems such as islanding detection, harmonic distortion requirements and electromagnetic interference are therefore very important issues for widespread application of PV systems. The control circuit also provides sufficient control and protection functions like maximum power tracking, inverter current control and power factor control. Reliability, life span and maintenance needs should be certified through the long-term operation of PV system. Further reduction of cost, size and weight is required for more utilization of PV systems. Using PV inverters with a variable power factor at high penetration levels may increase the number of balanced conditions and subsequently increase the probability of islanding. It is strongly recommended that PV inverters should be operated at unity power factor.A 24-h forecast of solar irradiance using artificial neural network: Application for performance prediction of a grid-connected PV plant at Trieste, Italy?Solar EnergyForecasting of solar irradiance is in general significant for planning the operations of power plants which convert renewable energies into electricity. In particular, the possibility to predict the solar irradiance (up to 24?h or even more) can became – with reference to the Grid Connected Photovoltaic Plants (GCPV) – fundamental in making power dispatching plans and – with reference to stand alone and hybridsystems – also a useful reference for improving the control algorithms of charge controllers. In this paper, a practical method for solar irradiance forecast using artificial neural network (ANN) is presented. The proposed Multilayer Perceptron MLP-model makes it possible to forecast the solar irradiance on a base of 24?h using the present values of the mean daily solar irradiance and air temperature. An experimental database of solar irradiance and air temperature data (from July 1st 2008 to May 23rd 2009 and from November 23rd 2009 to January 24th 2010) has been used. The database has been collected in Trieste (latitude 45°40′N, longitude 13°46′E), Italy. In order to check the generalization capability of the MLP-forecaster, a K-fold cross-validation was carried out. The results indicate that the proposed model performs well, while the correlation coefficient is in the range 98–99% for sunny days and 94–96% for cloudy days. As an application, the comparison between the forecasted one and the energy produced by the GCPV plant installed on the rooftop of the municipality of Trieste shows the goodness of the proposed model.A new simple analytical method for calculating the optimum inverter size in grid-connected PV plants??Electric Power Systems ResearchA new simple analytical method for the calculation of the optimum inverter size in grid-connected PV plants in any location is presented. The derived analytical expressions contain only four unknown parameters, three of which are related to the inverter and one is related to the location and to the nominal power of the PV plant. All four parameters can be easily estimated from data provided by the inverter manufacturer and from freely available climate data. Additionally, analytical expressions for the calculation of the annual energy injected into the ac grid for a given PV plant with given inverter, are also provided. Moreover, an expression for the effective annual efficiency of an inverter is given. The analytical method presented here can be a valuable tool to design engineers for comparing different inverters without having to perform multiple simulations, as is the present situation. The validity of the proposed analytical model was tested through comparison with results obtained by detailed simulations and with measured data.Analysis of isolated power systems for village electrification?Energy for Sustainable DevelopmentA large part of the world's population, particularly in India and Africa, lives in villages that often lie beyond the reach of grid power supply. Isolated power systems, which generate power at site, are considered as a viable option for the electrification of these areas. This paper discusses Indian experiences of isolated power systems. In India, there are many villages which have been electrified through renewable isolated power plants like biomass gasifier and solar photovoltaic (PV)systems. Case studies have been conducted for three such isolated power plants in the state of Maharashtra, India. It is observed from these case studies that the existing power plants are oversized and have a potential for reduction in distribution losses. This paper proposes an integrated design method for isolated power system, which combines load modeling, sizing and optimum distribution network. The levelized unit cost of energy can be reduced by 25–50% for the case studies by adopting the integrated design methodology. Generic guidelines are evolved for systems design from the case studies of sample isolated power systems.Sustainable electricity generation for rural and peri-urban populations of sub-Saharan Africa: The “flexy-energy” concept??Energy PolicyDesign and load management Optimization are big concerns for hybrid systems. ? Hybrid solar PV/Diesel is economically viable for remote areas and environmental friendly. ? “Flexy-energy” concept is a flexible hybrid solar PV/diesel/biomass suitable for remote areas. ? “Flexy-energy” concept is a flexible hybrid solar PV/diesel/biomass suitable for remote areas.Multi-objective optimization of batteries and hydrogen storage technologies for remote photovoltaic systems??EnergyStand-alone photovoltaic (PV) systems comprise one of the promising electrification solutions to cover the demand of remote consumers, especially when it is coupled with a storage solution that would both increase the productivity of power plants and reduce the areas dedicated to energy production.This paper presents a multi-objective design of weakly connected systems simultaneously minimizing the total levelized cost and the connection to the grid, while fulfilling a constraint of consumer satisfaction.For this task, a multi-objective code based on particle swarm optimization has been used to find the best combination of different energy devices. Both short and mid terms based on forecasts assumptions have been investigated.An application for the site of La Nouvelle in the French overseas island of La R éunion is proposed. It points up a strong cost advantage by using lead-acid (Pb-A) batteries in the short term and a mitigated solution for the mid term between Pb-A batteries and Gaseous hydrogen (GH2). These choices depend on the cost, the occupied area and the local pollution and, of course, legislation.太阳方向跟踪系统The effects on grid matching and ramping requirements, of single and distributed PV systems employing various fixed and sun-tracking technologies??In this second paper, which studies the hourly generation data from the Israel Electric Corporation for the year 2006, with a view to adding very large-scale photovoltaic power (VLS-PV) plants, three major extensions are made to the results reported in our first paper. In the first extension, PV system simulations are extended to include the cases of 1- and 2-axis sun-tracking, and 2-axis concentrator photovoltaic (CPV) technologies. Secondly, the effect of distributing VLS-PV plants among 8 Negev locations, for which hourly metrological data exist, is studied. Thirdly, in addition to studying the effect of VLS-PV on grid penetration, the present paper studies its effect on grid ramping requirements. The principal results are as follows: (i) sun-tracking improves grid matching at high but not low levels of grid flexibility; (ii) geographical distribution has little effect on grid penetration; (iii) VLS-PV significantly increases grid ramping requirements, particularly for CPV systems, but not beyond existing ramping capabilities; (iv) geographical distribution considerably ameliorates this effect.Performance prediction of 20?kWp grid-connected photovoltaic plant at Trieste (Italy) using artificial neural network?基于人工智能网络的20千瓦太阳能入网接入系统设计Energy Conversion and Management 节能与电网智能管理学报Growing of PV for electricity generation is one of the highest in the field of the renewable energies and this tendency is expected to continue in the next years. Due to the various seasonal, hourly and daily changes in climate, it is relatively difficult to find a suitable analytic model for predicting the performance of a grid-connected photovoltaic (GCPV) plant. In this paper, an artificial neural network is used for modelling and predicting the power produced by a 20?kWp GCPV plant installed on the roof top of the municipality of Trieste (latitude 45°40′N, longitude 13°46′E), Italy. An experimental database of climate (irradiance and air temperature) and electrical (power delivered to the grid) data from January 29th to May 25th 2009 has been used. Two ANN models have been developed and implemented on experimental climate and electrical data. The first one is a multivariate model based on the solar irradiance and the air temperature, while the second one is an univariate model which uses as input parameter only the solar irradiance. A database of 3437 patterns has been divided into two sets: the first (2989 patterns) is used for training the different ANN models, while the second (459 patterns) is used for testing and validating the proposed ANN models. Prediction performance measures such as correlation coefficient (r) and mean bias error (MBE) are presented. The results show that good effectiveness is obtained between the measured and predicted power produced by the 20?kWp GCPV plant. In fact, the found correlation coefficient is in the range 98–99%, while the mean bias error varies between 3.1% and 5.4%.A techno-economic comparison of rural electrification based on solar home systems and PV microgrids太阳能电站入网的技术-经济效益分析对比农村电气化项目家庭用太阳能发电系统社区用太阳能微电网设计Solar home systems are typically used for providing basic electricity services to rural households that are not connected to electric grid. Off-grid PV power plants with their own distribution network (micro/minigrids) are also being considered for rural electrification. A techno-economic comparison of the two options to facilitate a choice between them is presented in this study on the basis of annualised life cycle costs (ALCC) for same type of loads and load patterns for varying number of households and varying length and costs of distribution network. The results highlight that microgrid is generally a more economic option for a village having a flat geographic terrain and more than 500 densely located households using 3–4 low power appliances (e.g. 9?W CFLs) for an average of 4?h daily. The study analyses the viability of the two options from the perspectives of the user, an energy service company and the society.。

Fastroute 2.0 A High-quality and Efficient Global Router

Fastroute 2.0 A High-quality and Efficient Global Router

FastRoute2.0:A High-quality and Efficient Global RouterMin Pan,and Chris ChuDepartment of Electrical and Computer EngineeringIowa State University,Ames,IA50011Email:{panmin,cnchu}@Abstract—Because of the increasing dominance of interconnect issues in advanced IC technology,it is desirable to incorporate global routing into early design stages to get accurate interconnect information.Hence, high-quality and fast global routers are in great demand.In this paper,we propose two major techniques to improve the extremely fast global router, FastRoute[8]in terms of solution quality:(1)monotonic routing,(2) multi-source multi-sink maze routing.The new router is called FastRoute 2.0.Experimental results show that FastRoute2.0can generate high-quality routing solutions with fast runtime compared with three state-of-the-art academic global routers FastRoute,Labyrinth[9]and Chi Dispersion router[10].On the set of benchmarks used in[8]and[10],the total overflow of FastRoute2.0is98,compared to1012(FastRoute),2846 (Labyrinth)and1271(Chi Dispersion Router).The runtime of FastRoute 2.0is73%slower than FastRoute,but78×and37×faster than Labyrinth and Chi Dispersion router.The promising results make it possible to integrate global routing into early design stages.This could dramatically improve the design solution quality.I.I NTRODUCTIONAs feature size in advanced VLSI technology continues to shrink, interconnect delay has become the dominant factor in circuit delay. Although the scaling of feature size makes the device smaller and faster,interconnect delay is not scaling down as device delay.Many recent articles reported that interconnect delay can consume as much as75%of clock cycle in modern designs.Hence,the performance of current designs is mainly determined by interconnect instead of device.In addition,because of the shrinking of device size,the chip area is no longer determined by total cell area,but by the limited routing resources.Extra“white space”is commonly added to provide enough wire tracks to resolve routing congestion.It is typical that more than half of the modern chip is occupied by white space. Although interconnect is not implemented until the routing stage, its importance makes it necessary to be dealt with in early design stages such asfloorplanning and placement.One reason is that floorplanning and placement decides the length and hence the delay of interconnect wires to a large extent.The other is that the white space needs to be allocated appropriately before the routing stage to ensure the routability.Generally speaking,the placement obtained by the design stages before routing determines the solution space for the router to explore.For a bad placement,no matter how good the router is,it is impossible to achieve a good design.In order to consider the interconnect in early design stages without routing information,many interconnect models are employed to estimate timing and routing congestion for interconnect.To estimate timing,interconnect is modeled by half-perimeter of the bounding box[1][2]or a star[3]to compute the delay from source to sinks. However,considering the real implementation,multi-pin nets are typically routed as Steiner trees.Hence,both half-perimeter of the bounding box and star-model is far from accurate for interconnect timing estimation.For routing congestion,post-placement congestion estimation methods try to predict the routing congestion for a given placement.In recent years,a number of probabilistic methods for congestion estimation have been proposed[4][5][6].Recently, This work was partially supported by the SRC under Task ID1206and NSF under grant CCF-0540998.Westra et al.[7]presented a new technique based on degenerate global routing techniques.All these works proposed generic estima-tors which aim at predicting the behavior for all routers consistently. However,as pointed out in[8],because routing solutions generated by different routers are very different,it is not possible for an estimator to accurately predict congestion of all routers.Furthermore, even a real global router cannot predict the routing congestion for solutions obtained by another global router.Thus,in both timing and congestion estimation,the interconnect models are far from the real implementation in the routing stage.The interconnect resources required by routing stage are not adequately estimated and reserved during early design stages.In order to get accurate interconnect information in early design stages,it is desirable to incorporate global routing into them.Global routing allocates the routing demand globally over the chip area. It generates interconnect information very close to thefinal routing implementation and can be used for accurate estimation of inter-connect topology,wirelength,delay,congestion,buffering solution, etc.In addition,if the same global router is used for both early stage interconnect estimation and global routing,the inconsistency between the early design stages and routing can be eliminated. There are mainly two categories of global routing techniques:rip-up and reroute based techniques,and multicommodityflow based techniques.Many academic routers[9][10]and the majority of the industry routers employ the rip-up and reroute approach.This kind of techniques are essentially sequential routing methods in which each net is routed in a certain order according to the routing congestion from nets already routed.The multicommodityflow based techniques[11][12]can handle simultaneous routing of multiple nets as a multicommodityflow problem.The main idea is to model nets as different commodities thatflow through the network of routing resource graph.Theflow problem is typically solved by linear programming which results in fractionalflow.Therefore,a randomized rounding procedure is used to discretize the solution. Albrecht[12]proposed a method to approximate the LP solution with provable error bounds to speed up the computation.In order to handle large size problems,multilevel routing ap-proaches[17][18]are proposed to reduce the complexity of the problem.A”V-shaped”recursive coarsening and refinement process is commonly used.However,due to the high runtime complexity of the traditional global routers,it is impractical to perform global routing repeatedly in early stages.Recently,an extremely fast global router,FastRoute [8]was proposed to address the runtime issue.Unlike many global routers which rely on maze routing to resolve the congestion, FastRoute focuses on determining good Steiner tree topology and Steiner node locations according to congestion information so that much less maze routing is needed.Experimental results show that FastRoute can generate less congested global routing solutions with two orders of magnitude speedup over the state-of-the-art academic global routers Labyrinth[9]and Chi Dispersion router[10].And it is even faster than the highly-efficient congestion estimation algorithm FaDGloR[7].In this paper,we propose two major techniques to futher improveFastRoute in solution quality.•A monotonic routing technique to substitute pattern routing.•A multi-source multi-sink maze routing technique.The new router is called FastRoute2.0.On the same set of benchmarks in[8][10],FastRoute2.0achieves much better solution quality than FastRoute,Labyrinth and Chi Dispersion router.The total overflow is reduced by more than an order of magnitude.The runtime is about73%slower than the extremely fast FastRoute,but still78×and37×faster than Labyrinth and Chi Dispersion router.The remainder of the paper is organized as follows.In Section II,we review the framework and techniques of FastRoute global router.In Section III,we present the two major techniques in detail. In Section IV,experimental results of FastRoute2.0and comparison with three state-of-the-art global routers are shown.Finally,the paper concludes with a summary of results and directions of future work.II.F AST R OUTE G LOBAL R OUTERIn this section,we give an overview of the extremely fast global router,FastRoute[8].Different from traditional global routers,FastRoute is a global router aiming at the application in both placement and routing.In placement process,global router may be invoked many times to get the interconnect estimation for intermediate placement.Hence,the runtime is a major concern of the algorithm.As pointed out by many works(e.g,[9]),maze routing is the major contributor of global routing runtime.Therefore,FastRoute focuses mainly on the Steiner tree construction to alleviate the burden of maze routing.Because of the good Steiner tree structures obtained,FastRoute only runs one round of maze routing and only about2.15%of2-pin nets are routed by maze routing.This is the major reason why FastRoute can achieve such a significant speedup over other global routers. FastRoute has three phases:1)Congestion map generation:In this phase,the Steiner trees forall the nets are generated using minimal Steiner tree algorithm FLUTE[13].Then all Steiner trees are broken into2-pin nets and routed using L-shaped pattern routing.The congestion map is obtained from this rough routing result.2)Congestion-driven Steiner tree construction:In this phase,twomajor techniques are proposed to construct good Steiner tree structures to reduce the routing congestion.First,a congestion-driven topology generation algorithm generates the Steiner tree topologies to reduce routing congestion according to the congestion map.The algorithm extends the idea of FLUTE to handle the congestion by trying to use less wires in the congested region.Second,an edge shifting technique is em-ployed to further reduce the routing congestion after the Steiner tree topology isfixed.It identifies the tree edges that can be shifted without changing the rectilinear wirelength of the tree.By shifting these edges,routing demand can be shifted from congested region to uncongested region so that local congestion can be resolved.Both techniques are applied to every net with more than4pins,and the congestion map is updating as each net changes.3)Routing of2-pin nets using pattern routing and maze routing:In this phase,the Steiner trees obtained from phase2are broken into2-pin nets.Then every2-pin net is ripped up and rerouted by Z-shaped pattern routing.Finally,the long 2-pin nets over the congested regions is ripped up again and rerouted by maze routing.A cost function based on logistic function[14]is introduced to direct the maze routing tofind less congested paths.FastRoute achieves good global routing solutions with two orders of magnitude faster runtime.The extremely high speed makes it possible to incorporate it directly into the early design stages without much runtime penalty.This could dramatically improve the solution quality because accurate interconnect information becomes available in early stages.III.N EW R OUTING T ECHNIQUESThe original FastRoute focuses on generating good Steiner tree structures to reduce routing congestion in thefirst two phases.So we follow these two phases of FastRoute to generate high-quality Steiner tree structures.However,we demonstrate that the pattern routing and maze routing in the third phase can be improved to obtain better routing solutions.In this work,we propose the following two techniques:•A monotonic routing to substitute the pattern routing in Fas-tRouteflow.•A multi-source multi-sink maze routing technique which is a more powerful maze routing technique to achieve high-quality routing solutions.In part A wefirst discuss the grid graph model used in this work. Then,in B and part C,we will describe the two techniques in detail. Finally,theflow of FastRoute2.0,the new global router based on the two techniques is given in part D.A.Grid Graph ModelThe grid graph model is widely used in global routing[8][9] [10][12].It is also used in our work.In this model,the chip area is partitioned into rectangular regions called global bins and all the pins in a global bin are assumed to be at the center of the bin.Each global bin corresponds to a node in grid graph.The boundaries of global bins are called global edges,which correpond to the edges in grid graph.The capacity of an edge represents the number of routing tracks for the corresponding boundary.These notions are illustrated in Figure1.The major optimization objective in global routing is to minimize the total overflow on all global edges in the grid graph.The overflow on a global edge e is defined as how much the routing demand d e exceeds the edge capacity c e.If d e>c e, overflow e=d e−c e;otherwise overflow e=0.For each global edge,a cost is associated with it based on its overflow value.(a)(b)Fig.1.(a)Global bins.(b)Corresponding grid graph.B.Monotonic RoutingPattern routing uses predefined patterns to route2-pin u-ally,the most commonly used are L-shaped(1-bend)or Z-shaped(2-bends)patterns.Because pattern routing limits the pattern of routingpath shapes,it can speed up the global routing process.Therefore, pattern routing is typically employed to route a big portion of nets to save runtime.In FastRoute,after every Steiner tree broken into 2-pin nets,Z-shaped pattern routing is used to route each2-pin net. Although the pattern routing can speed up the routing process,its quality could be much worse than maze routing.The maze router ensures that the least cost route is found,but pattern routing only considers a small portion of possible routes.For a2-pin net which spans m×n grids,L-shaped pattern routing only considers2different paths,and Z-shaped pattern routing only considers m+n different paths.Hence,pattern routing fails tofind good routing paths to avoid the congestion in many cases.We want tofind a trade-off between maze routing and pattern routing so that the quality can be better than pattern routing,but the runtime is close to it.Fig.2.Monotonic routing paths.The basic idea is tofind the best monotonic routing path for a 2-pin net.Let one pin be the source(S)and the other be sink(T).A monotonic routing path from S to T is a path on the routing grid from S to T which always directs toward T.Figure2shows two different monotonic routing paths from S to T.Notice that all monotonic routing paths will not go out of the bounding box of Sand T.The total number of monotonic routing paths from one corner=(m+n−2)! to the diagonal corner of a m×n grids is m+n−2m−1way is to break the routing tree into edges (2-pin nets),and route each edge by maze routing.We find that this kind of independent edge-by-edge routing scheme may cause problems and fail to generate good routing solutions for the multi-pin nets.Figure 5illustrates three different scenarios.The shaded areas denote the congested regions.(a)(b)Fig.5.Maze routing scenarios.•Unnecessary detour:Consider the scenario in Figure 5(a).The dashed route “Route1”is the maze routing result for edge (A,B ).However,if the path does not need to go from A to B ,“Route2”is a better choice in terms of cost.•Redundant routing:Consider the scenario in Figure 5(b).The dashed route is the maze routing result for edge (A,B ).However,the (e,B )part on the path is already part of the routing tree,and it is redundant to repeat it.•Unintentionally loop:Consider the scenario in Figure 5(c).The dashed route is the maze routing result for edge (A,B ).A loop is created in the routing tree.It is obvious that this loop is not needed and only the part from A to e is necessary on the path.As we can see in these three scenarios,unnecessary wires are used in routing the multi-pin nets.This results in using more routing resources than necessary and cause routing congestion.The major defect of this edge-by-edgerouting scheme is that the tree information is neglected and every edge is routed independently.When routing an edge in the tree for multi-pin nets,the routing path has to start with one endpoint of the edge and end with the other endpoint.However,this may not be necessary sometimes.It is enough if there is a path created between the two endpoints,no matter it directly goes from one endpoint to the other or uses part of routing tree already there.Fig.6.Multi-source multi-sink maze routing.Aware of this problem,we propose a multi-source multi-sink maze routing algorithm.The main idea is that the routing tree is respected when we route an edge for a multi-pin net.We do not constrain the two endpoints of the routing path to be the original endpoints of the edge being routed.As illustrated in Figure 6,suppose we are routing an edge (A,B )in the routing tree T for a multi-pin net N .We first remove (A,B )from T and obtain two subtrees T 1and T 2.(Note that T 1and T 2can be just a point.)We treat all the grid points on T 1as sources,and all the grid points on T 2as sinks.Then,we apply the multi-source multi-sink maze routing to find the best pathconnecting T 1and T 2to form a tree.In Figure 6,the dotted line from X to Y is the best path to connect T 1and T 2.Our multi-source multi-sink maze routing algorithm is shown in Figure 7.In the algorithm,we use the same cost function as in FastRoute [8].d (g )is the distance from T 1to g ,defined as the total cost of all global edges passed by the temporary shortest path from T 1to g .The algorithm follows the framework of Dijkstra’s algorithm [15].Lines 1-5initializes the distance d ,priority queue Q and destination points.Lines 6-17is the loop similar to Dijkstra’s algorithm.Line 18just traces back to find the shortest path from T 1to T 2.Note that Dijkstra’s algorithm ensures that when a point u is extracted from Q ,d (u )is the shortest distance from T 1to u .That is why the stopping criterion is when the first destination point is extracted from the priority queue.Algorithm Multi-source Multi-destination Maze Routing1.d(g) = ∞for all grid points g2.Find subtree T 1(contains A) and T 2(contains B) after breaking (A, B)3.Set d(u) = 0 and π(u) = nil, for all grid points u on T 14. Set up a priority queue Q with all grid points on T 15.Mark all grid points on T 2as destination point6.u ←Extract-Min(Q)7.While u is not destination point 8.do 9.for each neighbor grid points v of u 10.do 11.if d(v) > d(u) + cost(u, v)12.then d(v) = d(u) + cost(u, v)13.π(v) = u 14.if v is in Q 15.then update Q 16.else insert v into Q 17.u ←Extract-Min(Q)18.Trace back from u using πto find the shortest path from T 1to T 2Fig.7.Multi-source multi-sink maze routing algorithm.Our algorithm finds the least cost routing path from T 1to T 2.Theorem 2gives the optimality of the algorithm.Theorem 2The path found by multi-source multi-sink maze routing algorithm is the least cost routing path from T 1to T 2.Due to the page limit,we only give the sketch of the proof.Proof:First of all,note that the cost function cost(u,v)is a positive function in our problem.In line 3,d (u )=0for all the grid points on T 1.Hence,we can assume a supersource which replaces all the grid points on T 1,and all grid points adjacent to T 1are its neighbor.Similarly,we can assume a supersink which replaces all the grid points on T 2,and all adjacent grid points to T 2.Then the problem is transformed to a single-source,single-sink shortest path problem.The optimality follows the optimality of Dijkstra’s algorithm.The only thing left is to prove the stopping criterion is correct.Recall that we stop when a destination point on T 2is extracted from Q .Assume u is the first destination point extracted from Q .For the purpose of contradiction,let w be the destination point which is on the shortest path from T 1to T 2.Hence,we have d (w )<d (u ).However,when we extract u from Q ,w is still in Q ,which means d (w )≥d (u ).Because the cost function is positive,d (w )will never decrease in later updating.Therefore,we obtain a contradiction that d (w )≥d (u ).u,there are at most4neighbors adjacent to it.The insertion and updating of Q takes time O(lgV).The total complexity is therefore O(V lgV).We apply this multi-source multi-sink maze routing algorithm on the tree edges of multi-pin nets.The runtime of maze routing algorithm is highly related to the size of the search region.In order to speed up the algorithm,we do not always search the whole grid graph tofind the least cost path.Instead,we expand each boundary of the bounding box by a certain amount,say w rows and h columns, and use this enlarged region as the search region for the maze routing algorithm.By using this kind of search region,the runtime can be reduced significantly but the solution quality is close to optimal.In our implementation,the enlarge value is10for all four boundaries in thefirst maze routing round.If more rounds are needed,the enlarge value is increased by10every round.We want to point out one issue for the multi-source multi-sink maze routing technique.It can totally change the routing tree structure because the endpoints of new routing path do not need to be the endpoints of the edge being routed.For example,in Figure8, the Steiner tree structure is changed from(a)to(b)because of the new routing of edge(A,B).Hence,we need to update the Steiner tree structure accordingly after routing each edge by multi-source multi-sink maze routing.Fig.8.Steiner tree topology changed by maze routing.D.Flow of FastRoute2.0In this part,we give theflow of the new router,FastRoute2.0. In general,FastRoute2.0has theflow similar to FastRoute.First, the congestion map is generated.Second,Steiner tree structures are constructed according to the congestion map.Finally,monotonic routing and multi-source multi-sink maze routing are applied to route the tree edges in Steiner routing trees.Thefirst two phases are the same as FastRoute.In thefinal phase,wefirst apply the monotonic routing to every edge in every routing tree.Then we run one round of multi-source multi-sink maze routing.However,in this maze routing round,we are not routing every edge by maze routing.Instead,only the edges longer than a threshold and across congested areas will be routed by maze route, and other edges are routed by monotonic routing.The intuition is to avoid routing short nets and long nets not passing congested area by maze routing.Otherwise,unnecessary detours may be created to use more wirelength and cause routing congestion.Of course,another important reason is to cut down the runtime of maze routing.If there is still a lot of overflow,we will run more rounds of maze routing.IV.E XPERIMENTAL R ESULTSIn this section,we present the experimental results.All experi-ments were performed on a Linux workstation with Intel Pentium4 3.0GHz CPU and2GB memory.TABLE IB ENCHMARK STATISTICS#Nets Max Deg64x649.1k 3.880x6414.3k 4.480x6415.3k 3.696x6419.7k 3.4128x6425.8k 3.8192x6434.4k 3.8192x6435.2k 4.3256x6439.6k 3.8256x6449.5k 4.2First,we compare FastRoute2.0with three state-of-the-art aca-demic global routers:FastRoute[8],Labyrinth[9]and Chi Disper-sion router[10].We use the same benchmarks as in[10]provided by the authors of[9].Statistics of the benchmark circuits are shown in Table I.Because several pins in a net may fall in the same grid, the number of routed nets is less than the total number of nets.For Labyrinth,70%of the shortest connections are routed by pattern routing,which is the same as in[10].We measure wirelength and total overflow in the same manner as[8]and[10].The results are summarized in Table II.FastRoute2.0can achieve0overflow for6 circuits out of the total9circuits,and the total overflow is reduced by more than an order of magnitude compared to the other three routers.The wirelength of FastRoute2.0is also the least among all the routers.At the same time,FastRoute2.0is73%slower than FastRoute,but78×and37×faster than Labyrinth and Chi Dispersion router,respectively.Because we cannotfind a version to duplicate the results in[10],the runtime of Chi Dispersion router is scaled from the runtime in[10]based on the information from Standard Performance Evaluation Corporation(SPEC)[16].In[10], it was claimed that runtime of Chi Dispersion router is roughly2×faster than Labyrinth,which coincides with the scaled runtime we obtained.We also get a new version of Chi Dispersion router from the authors of[10],the total overflow on the same set of benchmark is804,but the total runtime is about65×slower than FastRoute 2.0,which is close to the runtime of Labyrinth.In[8],a beaver mode of FastRoute with more maze routing is also reported.The total overflow of beaver mode is512and it is2.2×slower than FastRoute default mode,which is worse than FastRoute2.0in both total overflow and runtime.This indicates that just applying more maze routing in FastRoute cannot achieve the high-quality results of FastRoute2.0.Recently,a high-quality global router,BoxRouter [19]was proposed.Because of page limit,we are not able to include all the comparison results with BoxRouter.On the same set of benchmark,the total congestion for BoxRouter is497,compared to 98for FastRoute2.0.And the runtime of BoxRouter is about30×slower than FastRoute2.0.Second,we investigate the effect of monotonic routing technique. In order to show the effect of monotonic routing,we set up4different flows for phase3.•Only Z-shaped pattern routing•Only Monotonic routing•Z-shaped pattern routing+Maze routing•Monotonic routing+Maze routingTable III shows the comparison results between thefirst and secondflow,as well as between the third and fourthflow.It is clear that monotonic routing can generate less congested solutions before and after the maze routing.And we also measure the runtime for one full round of monotonic routing and one full round Z-shaped pattern routing.The previous one is about2.3×slower than the latter.But one point we want to mention that sometimes pattern routing may be preferred because it may generate less vias.When there is strictTABLE IIC OMPARION OF F AST R OUTE2.0,F AST R OUTE,L ABYRINTH AND C HI D ISPERSION ROUTERFastRoute Chi Dispersion router Wirelen Ovflow Time(s)Wirelen Ovflow Time(s)* ibm01684892500.21762281898.6300.9317999521426.53178892ibm0315039310.431915001024.7164 1.8817259378680.95173241ibm06284935330.913393793553.330 1.60376835407168.41378994ibm0841170358 1.164665567472.943 1.92426471310229.59427556ibm1059562218 1.9868011373139.61 Total266518110128.1930868881271524.7111 1.00329.04177.552 1.006Z Monotonic+maze ibm01128040ibm0225690ibm031450ibm041794112ibm0614440ibm078530ibm087351ibm0962621ibm10153221292098 Third,we report the total number of tree edges,the percentage of tree edges been maze routed,and the percentage of tree edges whose endpoints are changed during multi-source multi-sink maze routing. From Table IV,we can see that only2.34%of edges are maze routed, which is the main reason why the algorithm is very fast.Also,the results show that a significant portion(1out of3.5)of the edges been maze routed have their endpoints changed during the multi-source multi-sink maze routing.This indicates the effectiveness of not constraining the endpoints of the routing path for the edges.TABLE IVM AZE ROUTING S TATISTICSEdges being mazetree edges changed(%) ibm01 3.24%ibm02 4.00%ibm03 1.79%ibm04 4.04%ibm06 2.43%ibm07 1.89%ibm08 1.13%ibm09 1.25%ibm10 1.25%0.66%V.C ONCLUSIONSIn this paper,we develop a high-quality and very efficient global router-FastRoute2.0.It can generates routing solutions with an order of magnitude less overflow.Its runtime is73%slower than FastRoute but still much faster than Labyrinth and Chi Dispersion. Our future work will focus on two aspects.First,we will incor-porate our technique into the multi-level framework to handle very large routing problems.Second,we will integrate FastRoute2.0into placement framework to develop placement algorithms that generate better solutions in terms of timing,routability,etc.R EFERENCES[1]H.Eisenmann and F.M.Johannes.Generic global placement andfloorplanning.In Proc.ACM/IEEE Design Automation Conf.,pp.269-274,1998.[2]X.Yang,B.-K.Choi,and M.Sarrafzadeh.Timing-driven placement us-ing design hierarchy guided constraint generation.In Proc.IEEE/ACM puter-Aided Design,pp.177-184,2002.[3]G.Stem,B.M.Riess,B.Rohfleisch and F.M.Johannes.Timing drivenplacement in interaction with netlist transformations.Proc.Intl.Symp.on Physical Design,pp.36-41,1997.[4]J.Lou,S.Krishnamoorthy,and H.Sheng.Estimating routing congestionusing probabilistic analysis.In Proc.Intl.Symp.on Physical Design, pp.112-117,2001.[5]J.Westra,C.Bartels,and P.Groeneveld.Probabilistic congestionprediction.In Proc.Intl.Symp.on Physical Design,pp.204-209,2004.[6]A.B.Kahng and X.Xu.Accurate pseudo-constructive wirelengthand congestion estimation.In Proc.Intl.Workshop on System-Level Interconnect Prediction(SLIP),pp.61-68,2003.[7]J.Westra and P.Groeneveld.Is probabilistic congestion estimationworthwhile?In Proc.Intl.Workshop on System-Level Interconnect Prediction(SLIP),pp.99-106,2005.[8]M.Pan and C.Chu.FastRoute:A step to integrate global routing intoplacement.To appear.IEEE/ACM puter-Aided Design, 2006.[9]R.Kastner,E.Bozogzadeh,and M.Sarrafzadeh.Predictable routing.In Proc.IEEE/ACM puter-Aided Design,pp.110-113, 2000.[10]R.T.Hadsell and P.H.Madden.Improved global routing throughcongestion estimation.In Proc.ACM/IEEE Design Automation Conf., pp.28-31,2003.[11]R.Carden,J.Li,and C.K.Cheng.A global router with a theoreticalbound on the optimal solution.In IEEE puter-Aided Design of Integrated Circuits and Systems,15(2):208-216,1996.[12]C.Albrecht.Global routing by new approximation algorithms formulticommodityflow.In IEEE puter-Aided Design of Integrated Circuits and Systems,20(5):622-631,2001.[13]C.Chu,Y.Wong.Fast and Accurate Rectilinear Steiner Minimal TreeAlgorithm for VLSI Design.In Proc.Intl.Symp.on Physical Design, pages28-35,2005.[14]D.von Seggern.CRC Standard Curves and Surfaces.Boca Raton,FL:CRC Press,1993.[15]E.Dijkstra.A note on two problems in connexion with graphs.InNumerische Mathematik,vol.1,pp.269-271,1959.[16]/[17]J.Cong,J.Fang and Y.Zhang.Multilevel Approach to Full-ChipGridless Routing.In Proc.IEEE/ACM Intl.Conf.on Computer-Aided Design,pp.396-403,2001.[18]T.Y.Ho,Y.W.Chang,S.J.Chen,and D.T.Lee.A fast crosstalk andperformance-driven multilevel routing system.In Proc.IEEE/ACM Intl.Conf.on Computer-Aided Design,pp.382-387,2003.[19]M.Cho and D.Z.Pan.BoxRouter:a new global router based on boxexpansion and progressive ILP.In Proc.ACM/IEEE Design Automation Conf.,pp.373-378,2006.。

standard name

standard name

VYDANÉ EURÓPSKE NORMY A INÉ PUBLIKÁCIECENELECza obdobie od 1. 8. 2007 do 31. 8. 2007dop – dátum vydania: dátum, do ktorého sa musí EN prevziať na národnej úrovni vydaním identickej národnej normy alebo oznámenímdow – dátum zrušenia: dátum, do ktorého sa musia zrušiť národné normy, ktoré sú v rozpore s ENU04 ELECTRICAL FLUIDSEN 60970:2007 IEC 60970:2007 (EQV) Insulating liquids - Methods forcounting and sizing particles dop: 2008-05-01dow: 2010-08-01IEC TC 10CLC SR 10U19 RADIO INTERFERENCEEN 55024:1998/IS1:2007 Information technology equipment - Immunitycharacteristics - Limits and methods of measurementNote: Voted as prISA * To be combined with projects 21075through 21078 (prISB to prISE) dop:dow:CLC TC210V10 ELECTROMECHANICAL COMPONENTSEN 62137-1-1:2007 IEC 62137-1-1:2007 (EQV) Surface mounting technology- Environmental and endurance test methods for surfacemount solder joint - Part 1-1: Pull strength test dop: 2008-05-01dow: 2010-08-01IEC TC 91CLC SR 91EN 62137-1-2:2007 IEC 62137-1-2:2007 (EQV) Surface mounting technology- Environmental and endurance test methods for surfacemount solder joint - Part 1-2: Shear strength test dop: 2008-05-01dow: 2010-08-01IEC TC 91CLC SR 91V12 MAGNETIC COMPONENTSEN 62025-1:2007 IEC 62025-1:2007 (EQV) High frequency inductivecomponents - Non-electrical characteristics andmeasuring methods - Part 1: Fixed, surface mountedinductors for use in electronic and telecommunicationequipment dop: 2008-03-01dow: 2010-06-01IEC TC 51CLC SR 51EN 62317-1:2007 IEC 62317-1:2007 (EQV) Ferrite cores - Dimensions - Part1: General specification dop: 2008-05-01dow: 2010-08-01IEC TC 51CLC SR 51V16 PROCESS CONTROLEN 61131-2:2007 IEC 61131-2:2007 (EQV) Programmable controllers - Part2: Equipment requirements and tests dop: 2008-05-01dow: 2010-08-01IEC SC65BCLC SR65BV22 NAVIGATIONAL INSTRUMENTSEN 61097-1:2007 IEC 61097-1:2007 (EQV) Global maritime distress andsafety system (GMDSS) - Part 1: Radar transponder -Marine search and rescue (SART) - Operational andperformance requirements, methods of testing andrequired test results dop: 2008-05-01dow: 2010-08-01IEC TC 80CLC SR 80V27 AUDIO, VIDEO AND AUDIO-VISUAL EQUIPMENT AND SYSTEMSEN 62104:2007 IEC 62104:2003 (EQV) Characteristics of DAB receiversIdentical with EN 50248:2001 dop:dow:IEC TC 100CLC TC206V28 FIBRE OPTICSEN 50377-6-2:2007 Connector sets and interconnect components to beused in optical fibre communication systems - Productspecifications - Part 6-2: SC-RJ single mode terminatedon IEC 60793-2-50 category B1.1 and B1.3 singlemodefibre, category U dop: 2008-03-01dow: 2010-03-01CLC TC86BXAEN 50377-8-2:2007 Connector sets and interconnect components to beused in optical fibre communication systems - Productspecifications - Part 8-2: Type LSH-APC simplexterminated on IEC 60793-2-50 category B1.1 and B1.3singlemode fibre, composite ferrule category C dop: 2008-03-01dow: 2010-03-01CLC TC86BXAEN 50377-8-3:2007 Connector sets and interconnect components to beused in optical fibre communication systems - Productspecifications - Part 8-3: LSH-PC simplex terminated onIEC 60793-2-50 category B1.1 and B1.3 singlemode fibre,composite ferrule category C dop: 2008-03-01dow: 2010-03-01CLC TC86BXAEN 50377-8-4:2007 Connector sets and interconnect components to beused in optical fibre communication systems - Productspecifications - Part 8-4: LSH-APC simplex terminatedon IEC 60793-2-50 category B1.1 and B1.3 singlemodefibre, composite ferrule category U dop: 2008-03-01dow: 2010-03-01CLC TC86BXAEN 50377-8-5:2007 Connector sets and interconnect components to beused in optical fibre communication systems - Productspecifications - Part 8-5: LSH-PC simplex terminated onIEC 60793-2-50 category B1.1 and B1.3 singlemode fibre,composite ferrule category U dop: 2008-03-01dow: 2010-03-01CLC TC86BXAEN 50377-8-6:2007 Connector sets and interconnect components to beused in optical fibre communication systems - Productspecifications - Part 8-6: LSH-HR simplex terminated onIEC 60793-2-50 category B1.1 and B1.3 singlemode fibre,with full zirconia ferrule category C dop: 2008-03-01dow: 2010-03-01CLC TC86BXAEN 50377-8-7:2007 Connector sets and interconnect components to beused in optical fibre communication systems - Productspecifications - Part 8-7: LSH-PC terminated on IEC60793-2-50 category B1.1 and B1.3 singlemode fibre,with full zirconia ferrule category C dop: 2008-03-01dow: 2010-03-01CLC TC86BXAEN 50377-10-1:2007 Connector sets and interconnect components to beused in optical fibre communication systems - Productspecifications - Part 10-1: Type MU-PC simplexterminated on IEC 60793-2-50 category B1.1 and B1.3singlemode fibre, with full zirconia ferrule category C dop: 2008-04-01dow: 2010-04-01CLC TC86BXAEN 50378-3-1:2007 Passive components to be used in optical fibrecommunication systems - Product specifications - Part3-1: Type: 100/200 GHz DWDM module terminated on IEC60793-2-50 category B1.1 and B1.3 single mode fibre dop: 2008-04-01dow: 2010-04-01CLC TC86BXAEN 50378-3-2:2007 Passive components to be used in optical fibrecommunication systems - Product specifications - Part3-2: Type 4 / 8 channel CWDM module terminated on IEC60793-2-50 category B1.1 and B1.3 single mode fibreD127/118: Under Benchmark 3 * Maintained as extendedtarget date already approved dop: 2008-06-01dow: 2010-06-01CLC TC86BXAV31 SURFACE TRANSPORT ELECTROTECHNICAL SYSTEMSCLC/TS 50509:2007 Use of LED signal heads in road traffic signal systemsNote: BTTF 69-3 to coordinate work with IEC/SC 34A whichis developing IEC 62031 (to avoid overlapping) dop:dow:CLC BTTF69-3W03 ELECTRIC TRACTION EQUIPMENTCLC/TS 50502:2007 Railway applications - Rolling stock - Electric equipmentin trolley buses - Safety requirements and connectionsystems dop:dow:CLC SC9XBCLC/TR 50511:2007 Railway applications - Communications, signalling andprocessing systems - ERTMS/ETCS - External signallingfor lines equipped with ERTMS/ETCS Level 2dop:dow:IEC TC 9CLC SC9XAW08 ELECTRIC CABLESEN 62230:2007 IEC 62230:2006 (EQV) Electric cables - Spark-testmethod dop: 2008-08-01dow: 2010-08-01IEC TC 20CLC TC 20W11 ELECTRICAL ACCESSORIESEN 60898-1:2003/IS1:2007 Electrical accessories - Circuit breakers for overcurrentprotection for household and similar installations - Part1: Circuit-breakers for a.c. operationNote: TC 23E advice: ISH to be published with addition of 2useful examples at the end of the ISH (see FR comments) dop:dow:IEC SC23ECLC TC23EEN 60898-1:2003/IS2:2007 Electrical accessories - Circuit breakers for overcurrentprotection for household and similar installations - Part1: Circuit-breakers for a.c. operationNote: TC 23E advice: ISH to be published unchanged dop:dow:IEC SC23ECLC TC23EEN 60898-1:2003/IS3:2007 Electrical accessories - Circuit breakers for overcurrentprotection for household and similar installations - Part1: Circuit-breakers for a.c. operationNote: TC 23E advice: ISH to be published unchanged dop:dow:IEC SC23ECLC TC23EEN 60898-1:2003/IS4:2007 Electrical accessories - Circuit breakers for overcurrentprotection for household and similar installations - Part1: Circuit-breakers for a.c. operationNote: TC 23E advice: ISH to be published unchanged dop:dow:IEC SC23ECLC TC23EEN 61008-1:2004/IS1:2007 Residual current operated circuit-breakers withoutintegral overcurrent protection for household andsimilar uses (RCCB's) - Part 1: General rulesNote: TC 23E advice: ISH to be published unchanged dop:dow:IEC SC23ECLC TC23EEN 61008-1:2004/A11:2007 Residual current operated circuit-breakers withoutintegral overcurrent protection for household andsimilar uses (RCCB's) - Part 1: General rulesNote: dow aligned to dow of EN 61008-1:2004 (2009-04-01)* Ratified as EN 61008-1:2004/A11 dop: 2008-06-01dow: 2009-04-01IEC SC23ECLC TC23EW24 TELECONTROL SYSTEMEN 61968-4:2007 IEC 61968-4:2007 (EQV) Application integration atelectric utilities - System interfaces for distributionmanagement - Part 4: Interfaces for records and assetmanagement dop: 2008-05-01dow: 2010-08-01IEC TC 57CLC SR 57W26 DOMESTIC ELECTRICAL APPLIANCESEN 60335-2-79:2004/A2:2007 IEC 60335-2-79:2002/A2:2007 (EQV) Household andsimilar electrical appliances - Safety - Part 2-79:Particular requirements for high pressure cleaners andsteam cleaners dop: 2008-05-01dow: 2010-08-01IEC SC 61JCLC TC 61。

计算机缩写术语完全介绍

计算机缩写术语完全介绍
ACG(Aggressive Clock Gating,主动时钟选择)
AIS(Alternate Instruction Set,交替指令集)
ALAT(advanced load table,高级载入表)
ALU(Arithmetic Logic Unit,算术逻辑单元)
Aluminum(铝)
IOPs(Integer Operations Per Second,整数操作/秒)
IPC(Instructions Per Clock Cycle,指令/时钟周期)
ISA(instruction set architecture,指令集架构)
ISD(inbuilt speed-throttling device,内藏速度控制设备)
BSP(Boot Strap Processor,启动捆绑处理器)
BTAC(Branch Target Address Calculator,分支目标寻址计算器)
CBGA (Ceramic Ball Grid Array,陶瓷球状网阵排列)
CDIP (Ceramic Dual-In-Line,陶瓷双重直线)
FC-PGA(Flip-Chip Pin Grid Array,反转芯片针脚栅格阵列)
FDIV(Floationg Point Divide,浮点除)
FEMMS:Fast Entry/Exit Multimedia State,快速进入/退出多媒体状态
FFT(fast Fourier transform,快速热欧姆转换)
CPI(cycles per instruction,周期/指令)
CPLD(Complex Programmable Logic Device,複雜可程式化邏輯元件)

后端设计PPT演示课件

后端设计PPT演示课件
Fix setup violation
• Pre-Route Standard Cells
VDD/VSS rails on metal 1 Verify PG connection and routing
• Route Group Net
clocks bus routing
• Post-Route CTO
• Complete detailed wire routing,
conform wiring rule and order)
• Improve the density • Minimize the layer changes • Improve critical path and meet
timing requirement
Clock Tree Synthesis
• Objective:
minimize clock skew optimize clock buffers
Basic CTS Flow &
Concepts
From placement Set clock constraints
Perform clock tree synthesis
Perform clock tree optimization
Reduce timing-critical paths between the macros and interfacing logic.
Reduce interconnections in the following order:
Chip I/O to macros Macro to macro Macro to standard cell blocks

计算机专业英语翻译

计算机专业英语翻译

PC personal computer 个人计算机⏹IBM International Business Machine 美国国际商用机器公司的公司简称,是最早推出的个人计算机品牌。

⏹Intel 美国英特尔公司,以生产CPU芯片著称。

⏹Pentium Intel公司生产的586 CPU芯片,中文译名为“奔腾”。

⏹Address地址⏹Agents代理⏹Analog signals模拟信号⏹Applets程序⏹Asynchronous communications port异步通信端口⏹Attachment附件⏹Access time存取时间⏹access存取⏹accuracy准确性⏹ad network cookies广告网络信息记录软件⏹Add-ons 插件⏹Active-matrix主动矩阵⏹Adapter cards适配卡⏹Advanced application高级应用⏹Analytical graph分析图表⏹Analyze分析⏹Animations动画⏹Application software 应用软件⏹Arithmetic operations算术运算⏹Audio-output device音频输出设备⏹Basic application基础程序⏹Binary coding schemes二进制译码方案⏹Binary system二进制系统⏹Bit比特⏹Browser浏览器⏹Bus line总线⏹Backup tape cartridge units备份磁带盒单元⏹Business-to-consumer企业对消费者⏹Bar code条形码⏹Bar code reader条形码读卡器⏹Bus总线⏹Bandwidth带宽⏹Bluetooth蓝牙⏹Broadband宽带⏹Business-to-business企业对企业电子商务⏹cookies-cutter programs信息记录截取程序⏹cookies信息记录程序⏹cracker解密高手⏹cumulative trauma disorder积累性损伤错乱⏹Cybercash电子现金⏹Cyberspace计算机空间⏹cynic愤世嫉俗者⏹Cables连线⏹Cell单元箱⏹Chain printer链式打印机⏹Character and recognition device字符标识识别设备⏹Chart图表⏹Chassis支架⏹Chip芯片⏹Clarity清晰度⏹Closed architecture封闭式体系结构⏹Column列⏹Combination key结合键⏹computer competency计算机能力⏹connectivity连接,结点⏹Continuous-speech recognition system连续语言识别系统⏹Channel信道⏹Chat group谈话群组⏹chlorofluorocarbons(CFCs) ]氯氟甲烷⏹Client客户端⏹Coaxial cable同轴电缆⏹cold site冷网站⏹Commerce servers商业服务器⏹Communication channel信道⏹Communication systems信息系统⏹Compact disc rewritable⏹Compact disc光盘⏹computer abuse amendments act of 19941994计算机滥用法案⏹computer crime计算机犯罪⏹computer ethics计算机道德⏹computer fraud and abuse act of 1986计算机欺诈和滥用法案⏹computer matching and privacy protection actof 1988计算机查找和隐私保护法案⏹Computer network计算机网络⏹computer support specialist计算机支持专家⏹computer technician计算机技术人员⏹computer trainer计算机教师⏹Connection device连接设备⏹Connectivity连接⏹Consumer-to-consumer个人对个人⏹Control unit操纵单元⏹Cordless or wireless mouse无线鼠标⏹Cable modems有线调制解调器⏹carpal tunnel syndrome腕骨神经综合症⏹CD-ROM可记录光盘⏹CD-RW可重写光盘⏹CD-R可记录压缩光盘⏹Disk磁碟⏹Distributed data processing system分部数据处理系统⏹Distributed processing分布处理⏹Domain code域代码⏹Downloading下载⏹DVD 数字化通用磁盘⏹DVD-R 可写DVD⏹DVD-RAM DVD随机存取器⏹DVD-ROM 只读DVD⏹Database数据库⏹database files数据库文件⏹Database manager数据库管理⏹Data bus数据总线⏹Data projector数码放映机⏹Desktop system unit台式电脑系统单元⏹Destination file目标文件⏹Dumb terminal非智能终端⏹data security数据安全⏹Data transmission specifications数据传输说明⏹database administrator数据库管理员⏹Dataplay数字播放器⏹Demodulation解调⏹denial of service attack拒绝服务攻击⏹Dial-up service拨号服务⏹Digital cash数字现金⏹Digital signals数字信号⏹Digital subscriber line数字用户线路⏹Digital versatile disc数字化通用磁盘⏹Digital video disc数字化视频光盘⏹Direct access直接存取⏹Directory search目录搜索⏹disaster recovery plan灾难恢复计划⏹Disk caching磁盘驱动器高速缓存⏹Diskette磁盘⏹Digital cameras数码照相机⏹Digital notebooks数字笔记本⏹Digital bideo camera数码摄影机⏹Discrete-speech recognition system不连续语言识别系统⏹Document文档⏹document files文档文件⏹Dot-matrix printer点矩阵式打印机⏹Dual-scan monitor双向扫描显示器⏹environment环境⏹Erasable optical disks可擦除式光盘⏹ergonomics人类工程学⏹ethics道德规范⏹External modem外置调制解调器⏹extranet企业外部网⏹e-book电子阅读器⏹Expansion cards扩展卡⏹electronic commerce电子商务⏹electronic communications privacy act of1986电子通信隐私法案⏹encrypting加密术⏹energy star能源之星⏹Enterprise computing企业计算化⏹end user终端用户⏹e-cash电子现金⏹e-commerce电子商务⏹electronic cash电子现金⏹Floppy-disk cartridge磁盘盒⏹Formatting格式化⏹freedom of information act of 1970信息自由法案⏹frequency频率⏹frustrated受挫折⏹Full-duplex communication全双通通信⏹Fax machine传真机⏹Field域⏹Find搜索⏹FireWire port火线端口⏹Firmware固件⏹Flash RAM闪存⏹Flatbed scanner台式扫描器⏹Flat-panel monitor纯平显示器⏹floppy disk软盘⏹filter过滤⏹firewall防火墙⏹firewall防火墙⏹Fixed disk固定硬盘⏹Flash memory闪存⏹Flexible disk可折叠磁盘⏹Floppies磁盘⏹Formatting toolbar格式化工具条⏹Formula公式⏹Function函数⏹fair credit reporting act of 1970公平信用报告法案⏹Fiber-optic cable光纤电缆⏹File compression文件压缩⏹File decompression文件解压缩⏹green pc绿色个人计算机⏹Grop by 排序⏹General-purpose application通用运用程序⏹Gigahertz千兆赫⏹Graphic tablet绘图板⏹Hard-disk pack硬盘组⏹Head crash磁头碰撞⏹header标题⏹help desk specialist帮助办公专家⏹helper applications帮助软件⏹Hierarchical network层次型网络⏹history file历史文件⏹handheld computer手提电脑⏹Hard copy硬拷贝⏹hard disk硬盘⏹hardware硬件⏹Help帮助⏹hits匹配记录⏹horizontal portal横向用户⏹hot site热网站⏹Hybrid network混合网络⏹Host computer主机⏹Home page主页⏹Hyperlink超链接⏹hacker黑客⏹Half-duplex communication半双通通信⏹Hard-disk cartridge硬盘盒⏹information pushers信息推送器⏹initializing 初始化⏹instant messaging计时信息⏹internal hard disk内置硬盘⏹Internet hard drive 网络硬盘驱动器⏹intranet企业内部网⏹Image capturing device图像获取设备⏹information technology信息技术⏹Ink-jet printer墨水喷射印刷机⏹Integrated package综合性组件⏹Intelligent terminal智能终端设备⏹Intergrated circuit集成电路⏹Interface cards接口卡⏹illusion of anonymity匿名幻想⏹index search索引搜索⏹Internal modem内部调制解调器⏹internet telephony网络电话⏹internet terminal互联网终端⏹Identification识别⏹drive网络硬盘驱动器⏹joystick操纵杆⏹keyword search关键字搜索⏹laser printer激光打印机⏹Layout files版式文件⏹Light pen光笔⏹Locate定位⏹lurking潜伏⏹Logical operations逻辑运算⏹Lands凸面⏹Line of sight communication视影通信⏹Low bandwidth低带宽计算机英语名词解释⏹ADIMM(Advanced Dual In-line Memory Modules,高级双重内嵌式内存模块)⏹AMR(Audio/Modem Riser,音效/调制解调器主机板附加直立插卡)⏹AHA(Accelerated Hub Architecture,加速中心架构)⏹ASK IR(Amplitude Shift Keyed Infra-Red,长波形可移动输入红外线)⏹ATX(AT Extend,扩展型AT)⏹BIOS(Basic Input/Output System,基本输入/输出系统)⏹CSE(Configuration Space Enable,可分配空间)⏹DB(Device Bay,设备插架)⏹DMI(Desktop Management Interface,桌面管理接口)⏹EB(Expansion Bus,扩展总线)⏹EISA(Enhanced Industry Standard Architecture,增强形工业标准架构)⏹EMI(Electromagnetic Interference,电磁干扰)⏹ESCD(Extended System Configuration Data,可扩展系统配置数据)⏹FBC(Frame Buffer Cache,帧缓冲缓存)⏹FireWire(火线,即IEEE1394标准)⏹FSB(Front Side Bus,前置总线,即外部总线)⏹FWH(Firmware Hub,固件中心)⏹GMCH(Graphics & Memory Controller Hub,图形和内存控制中心)⏹GPIs(General Purpose Inputs,普通操作输入)⏹ICH(Input/Output Controller Hub,输入/输出控制中心)⏹IR(Infrared Ray,红外线)⏹IrDA(Infrared Ray,红外线通信接口可进行局域网存取和文件共享)⏹ISA(Industry Standard Architecture,工业标准架构)⏹ISA(Instruction Set Architecture,工业设置架构)⏹MDC(Mobile Daughter Card,移动式子卡)⏹MRH-R(Memory Repeater Hub,内存数据处理中心)⏹MRH-S(SDRAM Repeater Hub,SDRAM数据处理中心)⏹MTH(Memory Transfer Hub,内存转换中心)⏹NGIO(Next Generation Input/Output,新一代输入/输出标准)⏹P64H(64-bit PCI Controller Hub,64位PCI控制中心)⏹PCB(Printed Circuit Board,印刷电路板)⏹PCBA(Printed Circuit Board Assembly,印刷电路板装配)⏹PCI(Peripheral Component Interconnect,互连外围设备)⏹PCI SIG(Peripheral Component Interconnect Special Interest Group,互连外围设备专业组)⏹POST(Power On Self Test,加电自测试)⏹RNG(Random number Generator,随机数字发生器)⏹RTC(Real Time Clock,实时时钟)⏹KBC(KeyBroad Control,键盘控制器)⏹SAP(Sideband Address Port,边带寻址端口)⏹SBA(Side Band Addressing,边带寻址)⏹SMA(Share Memory Architecture,共享内存结构)⏹STD(Suspend To Disk,磁盘唤醒)⏹STR(Suspend To RAM,内存唤醒)⏹SVR(Switching V oltage Regulator,交换式电压调节)⏹USB(Universal Serial Bus,通用串行总线)⏹USDM(Unified System Diagnostic Manager,统一系统监测管理器)⏹VID(Voltage Identification Definition,电压识别认证)⏹VRM (V oltage Regulator Module,电压调整模块)⏹ZIF(Zero Insertion Force ,零插力)⏹主板技术⏹ACOPS(Automatic CPU OverHeat Prevention System,CPU过热预防系统)⏹SIV(System Information Viewer,系统信息观察)⏹ESDJ(Easy Setting Dual Jumper,简化CPU双重跳线法)⏹UPT(USB、PANEL、LINK、TV-OUT四重接口)⏹芯片组⏹ACPI(Advanced Configuration and Power Interface,先进设置和电源管理)⏹AGP(Accelerated Graphics Port,图形加速接口)⏹I/O(Input/Output,输入/输出)⏹MIOC(Memory and I/O Bridge Controller,内存和I/O桥控制器)⏹NBC(North Bridge Chip,北桥芯片)⏹PIIX(PCI ISA/IDE Accelerator,加速器)⏹PSE36(Page Size Extension 36-bit,36位页面尺寸扩展模式)⏹PXB(PCI Expander Bridge,PCI增强桥)⏹RCG(RAS/CAS Generator,RAS/CAS发生器)⏹SBC(South Bridge Chip,南桥芯片)⏹SMB(System Management Bus,全系统管理总线)⏹SPD(Serial Presence Detect,内存内部序号检测装置)⏹SSB(Super South Bridge,超级南桥芯片)⏹TDP(Triton Data Path,数据路径)⏹TSC(Triton System Controller,系统控制器)⏹QPA(Quad Port Acceleration,四接口加速)⏹ASIC(Application Specific Integrated Circuit,特殊应用积体电路)⏹ASC(Auto-Sizing and Centering,自动调效屏幕尺寸和中心位置)⏹ASC(Anti Static Coatings,防静电涂层)⏹AGAS(Anti Glare Anti Static Coatings,防强光、防静电涂层)⏹BLA(Bearn Landing Area,电子束落区)⏹BMC(Black Matrix Screen,超黑矩阵屏幕)⏹CRC(Cyclical Redundancy Check,循环冗余检查)⏹CRT(Cathode Ray Tube,阴极射线管)⏹DDC(Display Data Channel,显示数据通道)⏹DEC(Direct Etching Coatings,表面蚀刻涂层)⏹DFL(Dynamic Focus Lens,动态聚焦)⏹DFS(Digital Flex Scan,数字伸缩扫描)⏹DIC(Digital Image Control,数字图像控制)⏹Digital Multiscan II(数字式智能多频追踪)⏹DLP(Digital Light Processing,数字光处理)⏹DOSD(Digital On Screen Display,同屏数字化显示)⏹DPMS(Display Power Management Signalling,显示能源管理信号)⏹Dot Pitch(点距)⏹DQL(Dynamic Quadrapole Lens,动态四极镜)⏹DSP(Digital Signal Processing,数字信号处理)⏹EFEAL(Extended Field Elliptical Aperture Lens,可扩展扫描椭圆孔镜头)⏹FRC(Frame Rate Control,帧比率控制)⏹HVD(High Voltage Differential,高分差动)⏹LCD(liquid crystal display,液晶显示屏)⏹LCOS(Liquid Crystal On Silicon,硅上液晶)⏹LED(light emitting diode,光学二级管)⏹L-SAGIC(Low Power-Small Aperture G1 wiht Impregnated Cathode,低电压光圈阴极管)⏹LVD(Low Voltage Differential,低分差动)⏹LVDS(Low V oltage Differential Signal,低电压差动信号)⏹MALS(Multi Astigmatism Lens System,多重散光聚焦系统)⏹MDA(Monochrome Adapter,单色设备)⏹MS(Magnetic Sensors,磁场感应器)⏹Porous Tungsten(活性钨)⏹RSDS(Reduced Swing Differential Signal,小幅度摆动差动信号)⏹SC(Screen Coatings,屏幕涂层)⏹Single Ended(单终结)⏹Shadow Mask(阴罩式)⏹TDT(Timeing Detection Table,数据测定表)⏹TICRG(Tungsten Impregnated Cathode Ray Gun,钨传输阴级射线枪)⏹TFT(Thin Film Transistor,薄膜晶体管)⏹UCC(Ultra Clear Coatings,超清晰涂层)⏹V AGP(Variable Aperature Grille Pitch,可变间距光栅)⏹VBI(Vertical Blanking Interval,垂直空白间隙)⏹VDT(Video Display Terminals,视频显示终端)⏹VRR(Vertical Refresh Rate,垂直扫描频率)计算机函数数据库#include <iostream.h>class Myclas{private:int m-number;publicvoid setNumber(int number){m-number = number;}int getNumber(){return m-number}};void showMe(){cout<<"我是一个类"<<endl;}};void main (){Myclass mc;//mc.m_number=10;mc.setNumber(10);cout<<mc.showMe()<<endl;}⏹AGP(Accelerated Graphics Port) -图形加速接口⏹Access Time-存取时间⏹Address-地址⏹ANSI (American National Standards Institute) 美国国家标准协会⏹ASCII (American Standard Code for Information Interchange)⏹Async SRAM-异步静态内存⏹BSB (Backside Bus)⏹Bandwidth-带宽⏹Bank -内存库⏹Bank Schema -存储体规划⏹Base Rambus -初级的Rambus内存⏹Baud -波特⏹BGA (Ball Grid Array)-球状引脚栅格阵列封装技术⏹Binary -二进制⏹BIOS (Basic Input-Output System) -基本输入/输出系统⏹Bit-位、比特⏹BLP-底部引出塑封技术⏹Buffer-缓冲区⏹Buffered Memory-带缓冲的内存⏹BEDO (Burst EDO RAM) -突发模式EDO随机存储器⏹Burst Mode-突发模式⏹Bus-总线⏹Bus Cycle-总线周期⏹Byte-字节⏹Cacheability-高速缓存能力⏹Cache Memory-高速缓存存储器⏹CAS (Column Address Strobe)-列地址选通脉冲⏹CL(CAS Latency )-列地址选通脉冲时间延迟⏹CDRAM (Cache DRAM)-快取动态随机存储器⏹Checksum-检验和,校验和⏹Chipset-芯片组⏹Chip-Scale Package (CSP)-芯片级封装⏹Compact Flash-紧凑式闪存⏹Concurrent Rambus-并发式总线式内存⏹Continuity RIMM (C-RIMM)-连续性总线式内存模组⏹CMOS(Complementary Metal-Oxide-Semicomductor)-互补金属氧化物半导体用于晶体管⏹CPU (Central Processing Unit)-中央处理单元⏹Credit Card Memory -信用卡内存⏹DDR(Double Data Rate SDRAM)-双数据输出同步动态存储器。

Allegro基本规则设置指导书之Spacing规则设置

Allegro基本规则设置指导书之Spacing规则设置

Allegro基本规则设置指导书之Spacing规则设置下面介绍基本规则设置指导书之Spacing规则设置1.设置Line到其它的间距规则从左往右线到线,通孔pin,表贴pin,测试pin,通孔Via,盲埋孔,测试孔,微孔,铜皮,Bond finger,hole之间的间距2.设置pin到其它的间距,通孔pin和表贴pin3.设置Via到其它的间距4.设置shape到其它的间距5.设置Bond Finger到其它的间距6.设置Hole到其它的间距7.设置盲埋孔之间的间距This section is describe what the function allegro have ,helpfully could let user know more about allegroAllegro Design and Analysis includes design authoringPCB layout and Library and Design Data ManagementWith. It can ensure the end-to-end design of PCB with high quality and efficiencyRealize smooth data transfer between tools, shorten PCB design cycle, and shorten productMarket time1. Design authoringProvide a flexible logic constraint driven flow, management design rules, network hierarchy,Bus and differential pair.1.1.1 Main features and functionsThrough hierarchical and design "derivation" function, improve the original of complex designMap editing efficiency.Powerful CIS helps users quickly determine part selection and accelerate design flowAnd reduce project cost.1.2.1 Main featuresSchematic designers and PCB design engineers can work in parallel. Advanced design efficiency improves functions, such as copying the previous schematic design Select multiplexing with or by page. Seamless integration into pre simulation and signal analysis.1.2.2 Main FunctionsProvide schematic diagram and HDL/Verilog design input.Assign and manage high-speed design rules.Support netclasses, buses, extension networks and differential pairs. Powerful library creation and management functions.Allows synchronization of logical and physical designs.Realize multi-user parallel development and version control.Pre integration simulation and signal analysis.Support customizable user interface and enterprise customization development.1.3 o Allegro n Design Publisher1.3.1 Main Features and FunctionsAllows you to share designs with others using PDF files.The entire design is represented in a single, compact PDF format. Improve design readability.Provide content control - users can select the content to be published.1.4 Allegro A FPGA m System Planner1 1.4.1 Main features and functionsComplete and scalable FPGA/PCB collaborative design technology for ideal "Design and correct "pin assignment.Scalable FPGA/PCB protocol from OrCAD Capture to Allegro GXLSame as the design solution.Shorten the optimization pin allocation time and accelerate the PCB design cycle.2. B PCB layoutIt provides expandable and easy to use PCB design (including RFPCB) Then drive PCB design solution. It also includes innovative new automatic deliveryMutual technology can effectively improve the wiring of high-speed interfaces; Apply EDMD (IDX) mode, which makes ECAD/MCAD work smoothly; Execute modern industry standard IPC-2581,Ensure that the design data is simply and high-quality transferred to the downstream link.2.1.1 Main featuresSpeed up the design process from layout, wiring to manufacturing. Including powerful functions, such as design zoning, RF design functions and global design rules Stroke.It can improve productivity and help engineers to quickly move up to mass production* g- M4 G8 |6 }9 k7 G2.1.2 Main FunctionsProvide scalable full function PCB design solutions.Enable constraint driven design processes to reduce design iterations. Integrated DesignTrueDFM technology provides real-time DFM inspection. Provide a single, consistent context for management.Minimize design iterations and reduce overall Flex and rigid flexible designCost, and has advanced rigid and flexible design functions.Realize dynamic concurrent team design capability, shorten design cycle, and greatly reduceTime spent in routing, winding and optimization.Provide integrated RF/analog design and mixed signal design environment. Provides interactive layout and component placement.Provide design partitions for large distributed development teams. Realize real-time, interactive push editing of routing.It is allowed to use dynamic copper sheet technology to edit and update in real time.Manage netscheduling, timing, crosstalk, routing by designated layer and area Bundle.Provide proven PCB routing technology for automatic routing.Realize hierarchical route planning and accelerate the completion of design.Shorten interconnect planning and cabling time for high-speed interface intensive design.Provide a comprehensive, powerful and easy-to-use tool suite to help designersEfficient and successful manufacturing switch: DFM Checker is aimed at the company/manufacturerReview the specific rules of manufacturing partners; Used to reduce manufacturing and assembly documentsThe document editing time of the file can reach 70%; The panel editor will assemble the panel designThe intention is communicated to the manufacturing partners; Output design data in various manufacturing formats.3. y Library d and n Design a Data ManagementFor cost-effective projects that need to be delivered on time, it iseasy to obtainCurrent component information and design data are critical. library and designData management is a collaborative control of the company's internal cooperation and design processAdvanced functions are provided. As the design cycle shortens and the complexity increases, youThere must be a design approach that increases predictability and accelerates design turnaround.3.1.1 Main featuresReduce time and optimize library development related resources. Improve the precision in the process of parts manufacturing. Q9 b3.1.2 Main functionsReduce time and optimize library development and validation through integrated creation and validation processes Certification related resources.A simple method to develop devices with large pin count can shorten the time from a few days to A few minutes.Powerful graphic editor supports custom shape and spreadsheet import forSchematic symbols are created to ensure the reliability and integrity of data.Supports the import of part information from general industry formats, allowing rapid creation and Update part information.Common library development environment supporting schematic tools from different suppliers, including Mentor Graphics Design Architect and Mentor Graphics Viewdraw。

计算机专业英语翻译

计算机专业英语翻译

PC personal computer 个人计算机⏹IBM International Business Machine 美国国际商用机器公司的公司简称,是最早推出的个人计算机品牌。

⏹Intel 美国英特尔公司,以生产CPU芯片著称。

⏹Pentium Intel公司生产的586 CPU芯片,中文译名为“奔腾”。

⏹Address地址⏹Agents代理⏹Analog signals模拟信号⏹Applets程序⏹Asynchronous communications port异步通信端口⏹Attachment附件⏹Access time存取时间⏹access存取⏹accuracy准确性⏹ad network cookies广告网络信息记录软件⏹Add-ons 插件⏹Active-matrix主动矩阵⏹Adapter cards适配卡⏹Advanced application高级应用⏹Analytical graph分析图表⏹Analyze分析⏹Animations动画⏹Application software 应用软件⏹Arithmetic operations算术运算⏹Audio-output device音频输出设备⏹Basic application基础程序⏹Binary coding schemes二进制译码方案⏹Binary system二进制系统⏹Bit比特⏹Browser浏览器⏹Bus line总线⏹Backup tape cartridge units备份磁带盒单元⏹Business-to-consumer企业对消费者⏹Bar code条形码⏹Bar code reader条形码读卡器⏹Bus总线⏹Bandwidth带宽⏹Bluetooth蓝牙⏹Broadband宽带⏹Business-to-business企业对企业电子商务⏹cookies-cutter programs信息记录截取程序⏹cookies信息记录程序⏹cracker解密高手⏹cumulative trauma disorder积累性损伤错乱⏹Cybercash电子现金⏹Cyberspace计算机空间⏹cynic愤世嫉俗者⏹Cables连线⏹Cell单元箱⏹Chain printer链式打印机⏹Character and recognition device字符标识识别设备⏹Chart图表⏹Chassis支架⏹Chip芯片⏹Clarity清晰度⏹Closed architecture封闭式体系结构⏹Column列⏹Combination key结合键⏹computer competency计算机能力⏹connectivity连接,结点⏹Continuous-speech recognition system连续语言识别系统⏹Channel信道⏹Chat group谈话群组⏹chlorofluorocarbons(CFCs) ]氯氟甲烷⏹Client客户端⏹Coaxial cable同轴电缆⏹cold site冷网站⏹Commerce servers商业服务器⏹Communication channel信道⏹Communication systems信息系统⏹Compact disc rewritable⏹Compact disc光盘⏹computer abuse amendments act of 19941994计算机滥用法案⏹computer crime计算机犯罪⏹computer ethics计算机道德⏹computer fraud and abuse act of 1986计算机欺诈和滥用法案⏹computer matching and privacy protection act of 1988计算机查找和隐私保护法案⏹Computer network计算机网络⏹computer support specialist计算机支持专家⏹computer technician计算机技术人员⏹computer trainer计算机教师⏹Connection device连接设备⏹Connectivity连接⏹Consumer-to-consumer个人对个人⏹Control unit操纵单元⏹Cordless or wireless mouse无线鼠标⏹Cable modems有线调制解调器⏹carpal tunnel syndrome腕骨神经综合症⏹CD-ROM可记录光盘⏹CD-RW可重写光盘⏹CD-R可记录压缩光盘⏹Disk磁碟⏹Distributed data processing system分部数据处理系统⏹Distributed processing分布处理⏹Domain code域代码⏹Downloading下载⏹DVD 数字化通用磁盘⏹DVD-R 可写DVD⏹DVD-RAM DVD随机存取器⏹DVD-ROM 只读DVD⏹Database数据库⏹database files数据库文件⏹Database manager数据库管理⏹Data bus数据总线⏹Data projector数码放映机⏹Desktop system unit台式电脑系统单元⏹Destination file目标文件⏹Dumb terminal非智能终端⏹data security数据安全⏹Data transmission specifications数据传输说明⏹database administrator数据库管理员⏹Dataplay数字播放器⏹Demodulation解调⏹denial of service attack拒绝服务攻击⏹Dial-up service拨号服务⏹Digital cash数字现金⏹Digital signals数字信号⏹Digital subscriber line数字用户线路⏹Digital versatile disc数字化通用磁盘⏹Digital video disc数字化视频光盘⏹Direct access直接存取⏹Directory search目录搜索⏹disaster recovery plan灾难恢复计划⏹Disk caching磁盘驱动器高速缓存⏹Diskette磁盘⏹Digital cameras数码照相机⏹Digital notebooks数字笔记本⏹Digital bideo camera数码摄影机⏹Discrete-speech recognition system不连续语言识别系统⏹Document文档⏹document files文档文件⏹Dot-matrix printer点矩阵式打印机⏹Dual-scan monitor双向扫描显示器⏹environment环境⏹Erasable optical disks可擦除式光盘⏹ergonomics人类工程学⏹ethics道德规范⏹External modem外置调制解调器⏹extranet企业外部网⏹e-book电子阅读器⏹Expansion cards扩展卡⏹electronic commerce电子商务⏹electronic communications privacy act of1986电子通信隐私法案⏹encrypting加密术⏹energy star能源之星⏹Enterprise computing企业计算化⏹end user终端用户⏹e-cash电子现金⏹e-commerce电子商务⏹electronic cash电子现金⏹Floppy-disk cartridge磁盘盒⏹Formatting格式化⏹freedom of information act of 1970信息自由法案⏹frequency频率⏹frustrated受挫折⏹Full-duplex communication全双通通信⏹Fax machine传真机⏹Field域⏹Find搜索⏹FireWire port火线端口⏹Firmware固件⏹Flash RAM闪存⏹Flatbed scanner台式扫描器⏹Flat-panel monitor纯平显示器⏹floppy disk软盘⏹filter过滤⏹firewall防火墙⏹firewall防火墙⏹Fixed disk固定硬盘⏹Flash memory闪存⏹Flexible disk可折叠磁盘⏹Floppies磁盘⏹Formatting toolbar格式化工具条⏹Formula公式⏹Function函数⏹fair credit reporting act of 1970公平信用报告法案⏹Fiber-optic cable光纤电缆⏹File compression文件压缩⏹File decompression文件解压缩⏹green pc绿色个人计算机⏹Grop by 排序⏹General-purpose application通用运用程序⏹Gigahertz千兆赫⏹Graphic tablet绘图板⏹Hard-disk pack硬盘组⏹Head crash磁头碰撞⏹header标题⏹help desk specialist帮助办公专家⏹helper applications帮助软件⏹Hierarchical network层次型网络⏹history file历史文件⏹handheld computer手提电脑⏹Hard copy硬拷贝⏹hard disk硬盘⏹hardware硬件⏹Help帮助⏹hits匹配记录⏹horizontal portal横向用户⏹hot site热网站⏹Hybrid network混合网络⏹Host computer主机⏹Home page主页⏹Hyperlink超链接⏹hacker黑客⏹Half-duplex communication半双通通信⏹Hard-disk cartridge硬盘盒⏹information pushers信息推送器⏹initializing 初始化⏹instant messaging计时信息⏹internal hard disk内置硬盘⏹Internet hard drive 网络硬盘驱动器⏹intranet企业内部网⏹Image capturing device图像获取设备⏹information technology信息技术⏹Ink-jet printer墨水喷射印刷机⏹Integrated package综合性组件⏹Intelligent terminal智能终端设备⏹Intergrated circuit集成电路⏹Interface cards接口卡⏹illusion of anonymity匿名幻想⏹index search索引搜索⏹Internal modem内部调制解调器⏹internet telephony网络电话⏹internet terminal互联网终端⏹Identification识别⏹drive网络硬盘驱动器⏹joystick操纵杆⏹keyword search关键字搜索⏹laser printer激光打印机⏹Layout files版式文件⏹Light pen光笔⏹Locate定位⏹lurking潜伏⏹Logical operations逻辑运算⏹Lands凸面⏹Line of sight communication视影通信⏹Low bandwidth低带宽计算机英语名词解释⏹ADIMM(Advanced Dual In-line Memory Modules,高级双重内嵌式内存模块)⏹AMR(Audio/Modem Riser,音效/调制解调器主机板附加直立插卡)⏹AHA(Accelerated Hub Architecture,加速中心架构)⏹ASK IR(Amplitude Shift Keyed Infra-Red,长波形可移动输入红外线)⏹A TX(A T Extend,扩展型A T)⏹BIOS(Basic Input/Output System,基本输入/输出系统)⏹CSE(Configuration Space Enable,可分配空间)⏹DB(Device Bay,设备插架)⏹DMI(Desktop Management Interface,桌面管理接口)⏹EB(Expansion Bus,扩展总线)⏹EISA(Enhanced Industry Standard Architecture,增强形工业标准架构)⏹EMI(Electromagnetic Interference,电磁干扰)⏹ESCD(Extended System Configuration Data,可扩展系统配置数据)⏹FBC(Frame Buffer Cache,帧缓冲缓存)⏹FireWire(火线,即IEEE1394标准)⏹FSB(Front Side Bus,前置总线,即外部总线)⏹FWH(Firmware Hub,固件中心)⏹GMCH(Graphics & Memory Controller Hub,图形和内存控制中心)⏹GPIs(General Purpose Inputs,普通操作输入)⏹ICH(Input/Output Controller Hub,输入/输出控制中心)⏹IR(Infrared Ray,红外线)⏹IrDA(Infrared Ray,红外线通信接口可进行局域网存取和文件共享)⏹ISA(Industry Standard Architecture,工业标准架构)⏹ISA(Instruction Set Architecture,工业设置架构)⏹MDC(Mobile Daughter Card,移动式子卡)⏹MRH-R(Memory Repeater Hub,内存数据处理中心)⏹MRH-S(SDRAM Repeater Hub,SDRAM数据处理中心)⏹MTH(Memory Transfer Hub,内存转换中心)⏹NGIO(Next Generation Input/Output,新一代输入/输出标准)⏹P64H(64-bit PCI Controller Hub,64位PCI控制中心)⏹PCB(Printed Circuit Board,印刷电路板)⏹PCBA(Printed Circuit Board Assembly,印刷电路板装配)⏹PCI(Peripheral Component Interconnect,互连外围设备)⏹PCI SIG(Peripheral Component Interconnect Special Interest Group,互连外围设备专业组)⏹POST(Power On Self Test,加电自测试)⏹RNG(Random number Generator,随机数字发生器)⏹RTC(Real Time Clock,实时时钟)⏹KBC(KeyBroad Control,键盘控制器)⏹SAP(Sideband Address Port,边带寻址端口)⏹SBA(Side Band Addressing,边带寻址)⏹SMA(Share Memory Architecture,共享内存结构)⏹STD(Suspend To Disk,磁盘唤醒)⏹STR(Suspend To RAM,内存唤醒)⏹SVR(Switching V oltage Regulator,交换式电压调节)⏹USB(Universal Serial Bus,通用串行总线)⏹USDM(Unified System Diagnostic Manager,统一系统监测管理器)⏹VID(V oltage Identification Definition,电压识别认证)⏹VRM (V oltage Regulator Module,电压调整模块)⏹ZIF(Zero Insertion Force ,零插力)⏹主板技术⏹ACOPS(Automatic CPU OverHeat Prevention System,CPU过热预防系统)⏹SIV(System Information Viewer,系统信息观察)⏹ESDJ(Easy Setting Dual Jumper,简化CPU双重跳线法)⏹UPT(USB、PANEL、LINK、TV-OUT四重接口)⏹芯片组⏹ACPI(Advanced Configuration and Power Interface,先进设置和电源管理)⏹AGP(Accelerated Graphics Port,图形加速接口)⏹I/O(Input/Output,输入/输出)⏹MIOC(Memory and I/O Bridge Controller,内存和I/O桥控制器)⏹NBC(North Bridge Chip,北桥芯片)⏹PIIX(PCI ISA/IDE Accelerator,加速器)⏹PSE36(Page Size Extension 36-bit,36位页面尺寸扩展模式)⏹PXB(PCI Expander Bridge,PCI增强桥)⏹RCG(RAS/CAS Generator,RAS/CAS发生器)⏹SBC(South Bridge Chip,南桥芯片)⏹SMB(System Management Bus,全系统管理总线)⏹SPD(Serial Presence Detect,内存内部序号检测装置)⏹SSB(Super South Bridge,超级南桥芯片)⏹TDP(Triton Data Path,数据路径)⏹TSC(Triton System Controller,系统控制器)⏹QPA(Quad Port Acceleration,四接口加速)⏹ASIC(Application Specific Integrated Circuit,特殊应用积体电路)⏹ASC(Auto-Sizing and Centering,自动调效屏幕尺寸和中心位置)⏹ASC(Anti Static Coatings,防静电涂层)⏹AGAS(Anti Glare Anti Static Coatings,防强光、防静电涂层)⏹BLA(Bearn Landing Area,电子束落区)⏹BMC(Black Matrix Screen,超黑矩阵屏幕)⏹CRC(Cyclical Redundancy Check,循环冗余检查)⏹CRT(Cathode Ray Tube,阴极射线管)⏹DDC(Display Data Channel,显示数据通道)⏹DEC(Direct Etching Coatings,表面蚀刻涂层)⏹DFL(Dynamic Focus Lens,动态聚焦)⏹DFS(Digital Flex Scan,数字伸缩扫描)⏹DIC(Digital Image Control,数字图像控制)⏹Digital Multiscan II(数字式智能多频追踪)⏹DLP(Digital Light Processing,数字光处理)⏹DOSD(Digital On Screen Display,同屏数字化显示)⏹DPMS(Display Power Management Signalling,显示能源管理信号)⏹Dot Pitch(点距)⏹DQL(Dynamic Quadrapole Lens,动态四极镜)⏹DSP(Digital Signal Processing,数字信号处理)⏹EFEAL(Extended Field Elliptical Aperture Lens,可扩展扫描椭圆孔镜头)⏹FRC(Frame Rate Control,帧比率控制)⏹HVD(High V oltage Differential,高分差动)⏹LCD(liquid crystal display,液晶显示屏)⏹LCOS(Liquid Crystal On Silicon,硅上液晶)⏹LED(light emitting diode,光学二级管)⏹L-SAGIC(Low Power-Small Aperture G1 wiht Impregnated Cathode,低电压光圈阴极管)⏹LVD(Low V oltage Differential,低分差动)⏹LVDS(Low V oltage Differential Signal,低电压差动信号)⏹MALS(Multi Astigmatism Lens System,多重散光聚焦系统)⏹MDA(Monochrome Adapter,单色设备)⏹MS(Magnetic Sensors,磁场感应器)⏹Porous Tungsten(活性钨)⏹RSDS(Reduced Swing Differential Signal,小幅度摆动差动信号)⏹SC(Screen Coatings,屏幕涂层)⏹Single Ended(单终结)⏹Shadow Mask(阴罩式)⏹TDT(Timeing Detection Table,数据测定表)⏹TICRG(Tungsten Impregnated Cathode Ray Gun,钨传输阴级射线枪)⏹TFT(Thin Film Transistor,薄膜晶体管)⏹UCC(Ultra Clear Coatings,超清晰涂层)⏹V AGP(V ariable Aperature Grille Pitch,可变间距光栅)⏹VBI(V ertical Blanking Interval,垂直空白间隙)⏹VDT(Video Display Terminals,视频显示终端)⏹VRR(V ertical Refresh Rate,垂直扫描频率)计算机函数数据库#include <iostream.h>class Myclas{private:int m-number;publicvoid setNumber(int number){m-number = number;}int getNumber(){return m-number}};void showMe(){cout<<"我是一个类"<<endl;}};void main (){Myclass mc;//mc.m_number=10;mc.setNumber(10);cout<<mc.showMe()<<endl;}⏹AGP(Accelerated Graphics Port) -图形加速接口⏹Access Time-存取时间⏹Address-地址⏹ANSI (American National Standards Institute) 美国国家标准协会⏹ASCII (American Standard Code for Information Interchange)⏹Async SRAM-异步静态内存⏹BSB (Backside Bus)⏹Bandwidth-带宽⏹Bank -内存库⏹Bank Schema -存储体规划⏹Base Rambus -初级的Rambus内存⏹Baud -波特⏹BGA (Ball Grid Array)-球状引脚栅格阵列封装技术⏹Binary -二进制⏹BIOS (Basic Input-Output System) -基本输入/输出系统⏹Bit-位、比特⏹BLP-底部引出塑封技术⏹Buffer-缓冲区⏹Buffered Memory-带缓冲的内存⏹BEDO (Burst EDO RAM) -突发模式EDO随机存储器⏹Burst Mode-突发模式⏹Bus-总线⏹Bus Cycle-总线周期⏹Byte-字节⏹Cacheability-高速缓存能力⏹Cache Memory-高速缓存存储器⏹CAS (Column Address Strobe)-列地址选通脉冲⏹CL(CAS Latency )-列地址选通脉冲时间延迟⏹CDRAM (Cache DRAM)-快取动态随机存储器⏹Checksum-检验和,校验和⏹Chipset-芯片组⏹Chip-Scale Package (CSP)-芯片级封装⏹Compact Flash-紧凑式闪存⏹Concurrent Rambus-并发式总线式内存⏹Continuity RIMM (C-RIMM)-连续性总线式内存模组⏹CMOS(Complementary Metal-Oxide-Semicomductor)-互补金属氧化物半导体用于晶体管⏹CPU (Central Processing Unit)-中央处理单元⏹Credit Card Memory -信用卡内存⏹DDR(Double Data Rate SDRAM)-双数据输出同步动态存储器。

AMBA4_AXI4-Stream协议中文完整翻译

AMBA4_AXI4-Stream协议中文完整翻译

n
以 byte 为单位表示的数据总线的宽度
I
TID 宽度,推荐最大为 8-bits
d
TDEST 宽度,推荐最大为 4-bits
u
TUSER 宽度,推荐的 bit 数为以 byte 为单位表示的接口宽度的整数倍
信号 ACLK ARESETn TVALID
源 时钟源 复位源 主机
TREADY
从机
TDATA[(8n-1):0] 主机
小写 n
在信号名的开头或结尾,表示该信号为低有效
反馈
ARM 欢迎对于本产品及文档的反馈。
反馈关于本产品
如果你有任何关于本产品的看法和建议,请联系你的供应商并给出: 产品名 产品修订版本或版本 提供尽可能多的解释说明信息,如果合适的话,包括问题以及调试过程。
反馈关于内容
如果你有关于内容的意见,请发送邮件给 errata@,并给出: 标题:AMBA 4 AXI4-Stream Protocol Specification 数字:ARM IHI 0051A 你对内容有意见的页码 关于你的意见的一个简洁的解释说明
1 介绍
本章描述了 AXI4-流协议,并给出一些流类型的例子。本章包含以下章节: 关于 AXI4-流协议 数据流
1.1 关于 AXI4-流协议
AXI4-流协议作为一个标准接口,用于连接进行数据交换的组件。接口可以用来连接一个单一的主机,主机向接 收数据的单一从机发送数据。协议也可用于连接若干个主机和从机的组件。协议支持共用一组信号线的多个数据流, 允许构建一个通用互联(generic interconnect),可以执行 upsizing、downsizing 以及路由操作。
使用本文档
本文档包含以下章节: 1 介绍

(计算机)英文术语完全介绍

(计算机)英文术语完全介绍

(计算机)英文术语完全介绍二、英文术语完全介绍在每组术语中,我按照英文字母的排列顺序来分类。

1、CPU 3DNow!(3D no waiting,无须等待的3D处理) AAM(AMD Analyst Meeting,AMD分析家会议) ABP(Advanced Branch Prediction,高级分支预测)ACG(Aggressive Clock Gating,主动时钟选择) AIS(Alternate Instruction Set,交替指令集) ALAT (advanced load table,高级载入表) ALU(Arithmetic Logic Unit,算术逻辑单元) Aluminum(铝) AGU(Address Generation Units,地址产成单元) APC(Advanced Power Control,高级能源控制) APIC(Advanced rogrammable Interrupt Controller,高级可编程中断控制器) APS(Alternate Phase Shifting,交替相位跳转) ASB(Advanced System Buffering,高级系统缓冲) ATC (Advanced Transfer Cache,高级转移缓存) ATD(Assembly Technology Development,装配技术发展) BBUL(Bumpless Build-Up Layer,内建非凹凸层) BGA(Ball Grid Array,球状网阵排列) BHT(branch prediction table,分支预测表) Bops(Billion Operations Per Second,10亿操作/秒) BPU(Branch Processing Unit,分支处理单元) BP (Brach Pediction,分支预测) BSP(Boot Strap Processor,启动捆绑处理器) BTAC(Branch Target AddressCalculator,分支目标寻址计算器) CBGA (Ceramic Ball Grid Array,陶瓷球状网阵排列) CDIP (Ceramic Dual-In-Line,陶瓷双重直线) Center Processing Unit Utilization,中央处理器占用率 CFM(cubic feet per minute,立方英尺/秒) CMT(course-grained multithreading,过程消除多线程) CMOS(Complementary Metal Oxide Semiconductor,互补金属氧化物半导体) CMOV (conditional move instruction,条件移动指令) CISC (Complex Instruction Set Computing,复杂指令集计算机) CLK(Clock Cycle,时钟周期) CMP(on-chip multiprocessor,片内多重处理) CMS(Code Morphing Software,代码变形软件) co-CPU(cooperative CPU,协处理器) COB(Cache on board,板上集成缓存,做在CPU 卡上的二级缓存,通常是内核的一半速度)) COD(Cache on Die,芯片内核集成缓存) Copper(铜) CPGA(Ceramic Pin Grid Array,陶瓷针型栅格阵列) CPI(cycles per instruction,周期/指令) CPLD(Complex Programmable Logic Device,複雜可程式化邏輯元件) CPU(Center Processing Unit,中央处理器) CRT(Cooperative Redundant Threads,协同多余线程) CSP(Chip Scale Package,芯片比例封装) CXT(Chooper eXTend,增强形K6-2内核,即K6-3) Data Forwarding(数据前送) dB(decibel,分贝) DCLK(Dot Clock,点时钟) DCT(DRAM Controller,DRAM控制器) DDT(Dynamic Deferred Transaction,动态延期处理) Decode(指令解码) DIB(Dual Independent Bus,双重独立总线) DMT(Dynamic Multithreading Architecture,动态多线程结构) DP(Dual Processor,双处理器) DSM(Dedicated Stack Manager,专门堆栈管理) DSMT(Dynamic Simultaneous Multithreading,动态同步多线程) DST(Depleted Substrate Transistor,衰竭型底层晶体管) DTV(Dual Threshold Voltage,双重极限电压) DUV(Deep Ultra-Violet,纵深紫外光) EBGA(Enhanced Ball Grid Array,增强形球状网阵排列) EBL(electron beam lithography,电子束平版印刷) EC(Embedded Controller,嵌入式控制器) EDEC(Early Decode,早期解码) Embedded Chips(嵌入式) EPA(edge pin array,边缘针脚阵列) EPF (Embedded Processor Forum,嵌入式处理器论坛) EPL (electron projection lithography,电子发射平版印刷)EPM(Enhanced Power Management,增强形能源管理) EPIC (explicitly parallel instruction code,并行指令代码)EUV(Extreme Ultra Violet,紫外光) EUV(extreme ultraviolet lithography,极端紫外平版印刷) FADD (Floationg Point Addition,浮点加) FBGA(Fine-PitchBall Grid Array,精细倾斜球状网阵排列) FBGA(flipchip BGA,轻型芯片BGA) FC-BGA(Flip-Chip Ball Grid Array,反转芯片球形栅格阵列) FC-LGA(Flip-Chip Land Grid Array,反转接点栅格阵列) FC-PGA(Flip-Chip Pin Grid Array,反转芯片针脚栅格阵列) FDIV(Floationg Point Divide,浮点除) FEMMS:Fast Entry/Exit Multimedia State,快速进入/退出多媒体状态 FFT(fast Fouriertransform,快速热欧姆转换) FGM(Fine-Grained Multithreading,高级多线程) FID(FID:Frequency identify,频率鉴别号码) FIFO(First Input First Output,先入先出队列) FISC(Fast Instruction Set Computer,快速指令集计算机) flip-chip(芯片反转) FLOPs (Floating Point Operations Per Second,浮点操作/秒)FMT(fine-grained multithreading,纯消除多线程) FMUL (Floationg Point Multiplication,浮点乘) FPRs (floating-point registers,浮点寄存器) FPU(Float Point Unit,浮点运算单元) FSUB(Floationg Point Subtraction,浮点减) GFD(Gold finger Device,金手指超频设备) GHC(Global History Counter,通用历史计数器) GTL(Gunning Transceiver Logic,射电收发逻辑电路) GVPP(Generic Visual Perception Processor,常规视觉处理器) HL-PBGA: 表面黏著,高耐热、轻薄型塑胶球状网阵封装 HTT(Hyper-Threading Technology,超级线程技术) Hz(hertz,赫兹,频率单位) IA(Intel Architecture,英特尔架构) IAA(Intel Application Accelerator,英特尔应用程序加速器) ICU(Instruction Control Unit,指令控制单元) ID(identify,鉴别号码)IDF(Intel Developer Forum,英特尔开发者论坛) IEU (Integer Execution Units,整数执行单元) IHS(Integrated Heat Spreader,完整热量扩展) ILP (Instruction Level Parallelism,指令级平行运算) IMM: Intel Mobile Module, 英特尔移动模块 Instructions Cache,指令缓存 Instruction Coloring(指令分类) IOPs (Integer Operations Per Second,整数操作/秒) IPC (Instructions Per Clock Cycle,指令/时钟周期) ISA (instruction set architecture,指令集架构) ISD (inbuilt speed-throttling device,内藏速度控制设备)ITC(Instruction Trace Cache,指令追踪缓存) ITRS (International Technology Roadmap for Semiconductors,国际半导体技术发展蓝图) KNI(Katmai New Instructions,Katmai新指令集,即SSE) Latency(潜伏期) LDT(Lightning Data Transport,闪电数据传输总线) LFU(Legacy Function Unit,传统功能单元) LGA(land grid array,接点栅格阵列) LN2(Liquid Nitrogen,液氮) Local Interconnect(局域互连) MAC (multiply-accumulate,累积乘法) mBGA (Micro Ball Grid Array,微型球状网阵排列) nm(namometer,十亿分之一米/毫微米) MCA(machine check architecture,机器检查体系) MCU(Micro-Controller Unit,微控制器单元) MCT (Memory Controller,内存控制器) MESI(Modified, Exclusive, Shared, Invalid:修改、排除、共享、废弃)MF(MicroOps Fusion,微指令合并) mm(micron metric,微米) MMX(MultiMedia Extensions,多媒体扩展指令集)MMU(Multimedia Unit,多媒体单元) MMU(Memory Management Unit,内存管理单元) MN(model numbers,型号数字) MFLOPS(Million Floationg Point/Second,每秒百万个浮点操作) MHz(megahertz,兆赫) mil(PCB 或晶片佈局的長度單位,1 mil = 千分之一英寸) MIPS (Million Instruction Per Second,百万条指令/秒) MOESI (Modified, Owned, Exclusive, Shared or Invalid,修改、自有、排除、共享或无效) MOF(Micro Ops Fusion,微操作熔合) Mops(Million Operations Per Second,百万次操作/秒) MP(Multi-Processing,多重处理器架构)MPF(Micro processor Forum,微处理器论坛) MPU (Microprocessor Unit,微处理器) MPS(MultiProcessor Specification,多重处理器规范) MSRs(Model-SpecificRegisters,特别模块寄存器) MSV(MultiprocessorSpecification Version,多处理器规范版本) NAOC (no-account OverClock,无效超频) NI(Non-Intel,非英特尔) NOP(no operation,非操作指令) NRE (Non-Recurring Engineering charge,非重複性工程費用)OBGA(Organic Ball Grid Arral,有机球状网阵排列) OCPL (Off Center Parting Line,远离中心部分线队列) OLGA (Organic Land Grid Array,有机平面网阵包装) OoO(Out of Order,乱序执行) OPC(Optical Proximity Correction,光学临近修正) OPGA(Organic Pin Grid Array,有机塑料针型栅格阵列) OPN(Ordering Part Number,分类零件号码) PAT(Performance Acceleration Technology,性能加速技术) PBGA(Plastic Pin Ball Grid Array,塑胶球状网阵排列) PDIP (Plastic Dual-In-Line,塑料双重直线) PDP(Parallel Data Processing,并行数据处理) PGA (Pin-Grid Array,引脚网格阵列),耗电大 PLCC (Plastic Leaded Chip Carriers,塑料行间芯片运载) Post-RISC(加速RISC,或后RISC) PR(Performance Rate,性能比率)PIB(Processor In a Box,盒装处理器) PM (Pseudo-Multithreading,假多线程) PPGA(Plastic Pin Grid Array,塑胶针状网阵封装) PQFP(Plastic Quad Flat Package,塑料方块平面封装) PSN(Processor Serialnumbers,处理器序列号) QFP(Quad Flat Package,方块平面封装) QSPS(Quick Start Power State,快速启动能源状态) RAS(Return Address Stack,返回地址堆栈) RAW (Read after Write,写后读) REE(Rapid Execution Engine,快速执行引擎) Register Contention(抢占寄存器) Register Pressure(寄存器不足) Register Renaming (寄存器重命名) Remark(芯片频率重标识) Resource contention(资源冲突) Retirement(指令引退) RISC (Reduced Instruction Set Computing,精简指令集计算机) ROB(Re-Order Buffer,重排序缓冲区) RSE(register stack engine,寄存器堆栈引擎) RTL(Register Transfer Level,暫存器轉換層。

BoxRouter 2.0_ Architecture and Implementation of a Hybrid and Robust Global Router

BoxRouter 2.0_ Architecture and Implementation of a Hybrid and Robust Global Router

BoxRouter2.0:Architecture and Implementation ofa Hybrid and Robust Global RouterMinsik Cho,Katrina Lu,Kun Yuan,and David Z.PanDept.of ECE,The University of Texas at Austin,Austin,TX78712{thyeros,yiotse,kyuan}@,dpan@Abstract—In this paper,we present BoxRouter2.0,a hybrid and robust global router with discussion on its architecture and implementation.As high performance VLSI design becomes more interconnect-dominant,efficient congestion elimination in global routing is in greater demand.Hence,we propose BoxRouter2.0 which has strong ability to improve routability and minimize the number of vias with blockages,while minimizing wirelength. BoxRouter2.0is improved over[1],but can perform multi-layer routing with2D global routing and layer assignment.Our2D global routing is equipped with two ideas:robust negotiation-based A*search for routing stability,and topology-aware wire ripup forflexibility.After2D global routing,2D-to-3D mapping is done by the layer assignment which is powered by progressive via/blockage-aware integer linear programming.Experimental results show that BoxRouter 2.0has better routability with comparable wirelength than other routers on ISPD07benchmark, and it can complete(no overflow)ISPD98benchmark for thefirst time in the literature with the shortest wirelength.I.I NTRODUCTIONWhile ever-decreasing feature size enables the integration of millions of gates on a chip,interconnect delay becomes the dominant factor in VLSI performance[2]–[5].Thus,every stage of design process targets for minimal wirelength to reduce circuit delay.Especially placement,a major step in physical design,generally minimizes wirelength by placing gates more compactly.In addition,more functionalities in complex design(i.e.,system-on-chip)also demand more gates in a limited die,consequently increasing design density.Such design trends degrade routability by leaving the design with limiting routing area and thus make wiring gates more chal-lenging.Therefore,routability should be one of the most critical design objectives in VLSI physical design[6]–[8]. Routability can be enhanced in multiple stages in physical design[9]–[11],but routing is the most effective stage,as it plans wire distribution and embeds wires under design rules with the accurate pin and blockage information in hand. Routing consists of two steps,global routing and detailed routing.Global routing plans an approximate path for each net,while detailed routingfinalizes the exact DRC-compatible pin-to-pin connections.As detailed routing can hardly capture overall congestion due tofine routing grid size and numerous design rules,global routing should eliminate congestion by migrating wires from more to less congested regions with the minimized overhead in wirelength and via.This work is supported in part by SRC,IBM Faculty Award,Fujitsu,Sun,and equipment donations from Intel.The significance of routability in VLSI global routing has led to many global routing algorithms.Burstein et al.[12] proposed a hierarchical approach to speed up integer pro-gramming formulation for global routing,and Kastner[13] proposed a pattern-based global routing.Hadsell et al.[14] presented the Chi dispersion router based on linear cost function as well as predicted congestion map,and showed bet-ter results than[13].The multicommodityflow-based global router by Albrecht[15]showed good results and was used in industry,but at the expense of computational effort.After BoxRouter[1]sparked the renewed interest in routing research with significantly improved performance,FastRoute[16],[17] and DpRouter[18]achieved high quality solution in small runtime.However,most of the academic global routers work in2D(with two layers)to handle a larger circuit with less computing power and smaller memory,and lack of the important layer assignment.Layer assignment plays critical role for routability,timing, crosstalk,and manufacturability/yield.If excessive number of wires are assigned to a particular layer,it will aggravate con-gestion and crosstalk[19],[20].If global timing critical nets are assigned to lower layers,it will make timing worse due to narrower wire width/spacing.Biased wire density distribution between layers can cause large topography variation as well as pooling effect after CMP[21].Length of antenna can be also reduced by layer assignment[22].Large number of vias due to poor layer assignment can cause routability/pin access problem,as via(even extended via)needs larger area as well as wider spacing than wire.Especially,via minimization becomes more important for nanometer design,as via failure is one of critical manufacturability issues[23],[24].Recent global routing contest in ISPD-2007[25]attracted 17teams from both academia and industry,reflecting the renaissance of routing.It provided16industrial benchmarks (8for2D and another8for3D)to emphasize the importance of routability in global routing and the necessity of via minimization in layer assignment.In this work,we present BoxRouter 2.0which consists two steps,2D global routing and layer assignment.2D global routing boasts strong routability based on two techniques, namely robust negotiation-based A*search and topology-aware wire ripup.Meanwhile,layer assignment is enabled by novel and efficient progressive via/blockage-aware integer linear programming(ILP).The major contributions of this paper include the following:•We propose simple,yet essential dynamic scaling for robust negotiation-based A*search.This prevents a router from spinning out of control by balancing historic cost and present congestion cost,and ensures consistent routability improvement over iterations.•Instead of ripping up the entire net crossing the congested regions,we perform topology-aware wire ripup which rips up some wires in the congested regions without changing the net topology.•We propose an integer linear programming (ILP)for via/blockage-aware layer assignment to handle blockages and guarantee the feasibility.Also,we apply progressive ILP technique for via/blockage-aware layer assignment to enhance runtime.•We achieve a complete routable solution of ISPD98benchmark in the shortest wirelength for the first time,compared with all published academic global routers.Also,ours finishes the most of number of circuits with comparable wirelength on ISPD07global routing bench-mark,compared with all winning global routers.The rest of the paper is organized as follows.Section II presents preliminaries.Section III provides an overview of BoxRouter 2.0.Details on our 2D global routing is described in Section IV,then layer assignment is proposed in Section V.Experimental results are discussed in Section VI,followed by conclusion in Section VII.II.P RELIMINARIESA.Global Routing BackgroundThe global routing problem can be modeled as a grid graph,where each rectangular region of the circuit can be represented by the same number of vertices as the number of metal layers in the given manufacturing process.Fig.1shows a grid graph for routing from a circuit in multi-metal layer manufacturing process.Each metal layer is dedicated to either horizontal or vertical wires.A vertex is called a global routing cell (G-cell),and each edge represents the boundary between G-cells.Each edge has maximum routing capacity,and each wire passing the edge takes some routing capacity based on its width/spacing.When the demand from wires exceeds the maximum routing capacity of the edge,overflow occurs.The number of overflow can be computed as the excessive demand [8],[13].Thus,a global routing is to find paths that connect the pins inside the G-cells through the graph for every net with minimum number of overflows [8].Since a net may have complex topology,it can be decomposed into two pin wires with Rectilinear Minimum Steiner Tree [1],[16].(a)real circuit withG-cells M iM j M (b)grid graph for routingFig.1.A circuit with netlists can be dissected into multiple grids which can be mapped into graph for global routing [1].Fig.2.BoxRouter 1.0overall flow [1]B.BoxRouter 1.0BoxRouter 1.0[1]is based on congestion-initiated box ex-pansion;it progressively expands a box which initially covers the most congested region only,but finally covers the whole circuit.Within each box,BoxRouter 1.0performs progressive integer linear programming (ILP)and adaptive maze routing to effectively diffuse the congestion as in Fig.2.To decide the first box based on the global congestion view,BoxRouter 1.0performs PreRouting.After all nets are routed,PostRouting further improves the solution by rerouting detoured nets.BoxRouter 1.0[1]shows significantly superior results on ISPD98benchmark,compared with [13]–[15].However,BoxRouter 1.0has one limitation for highly congested designs where one general assumption of global routing (i.e.,70%-80%of nets are destined to be routed in simple L-shape pattern [26],[27])does not hold.In detail,its progressive ILP formulation for routing only considers L-shape pattern based on such assumption,but it does not work well for hard cases where most nets need to be detoured in complicated patterns.However,considering various routing patterns in ILP is prohibitively expensive due to the increase in the number of variables in ILP.C.Negotiation-based RoutingIt is shown that negotiation-based routing is effective in congestion elimination for FPGA [28].The key idea of negotiation-based approach is that the congestion history of every edge in the routing graph will be considered for the future routing.In detail,for each edge e ,there are two cost factors:h i (e )for historic cost at i -th iteration and p (e )for the present congestion cost.The combination of these two factors will provide the final cost for a wire to pass through e .As h i (e )is increased for any congested edge e right after each iteration,an edge which has been congested previously tends to have high h i (e ).Meanwhile,p (e )is solely related to the present congestion of e .Thus,considering both h i (e )and p (e )as routing cost will guide a router to avoid the presently congested edges as well as previously congested edges.This is very efficient technique to spread out wires to less congested regions.III.O VERVIEW OF B OX R OUTER 2.0In this section,we give the overview of BoxRouter 2.0shown in Fig.3.The early steps of BoxRouter 2.0are inspired by BoxRouter [1],but ours is radically different in a sense that we have more powerful and systematic way of removing congestion and assigning layers to wires.BoxRouter 2.0has two major steps,2D global routing (Section IV)and layerFig.3.The overview of BoxRouter2.0 assignment(Section V).When a circuit to route is given, we superpose all the layers into two layers,the horizontal and vertical,then perform2D global routing to maximize yer assignment follows2D global routing to distribute wires across multiple layers,while minimizing the number of vias.In fact,our2D global routing can be applied for multiple layers(3D)directly,but the advantage of2D global routing over3D global routing is that it needs less computing power and memory,as the global routing graph shrinks significantly. Also,the mapping from2D solution to3D solution can be done without making congestion worse,as long as a wire can be splitted to avoid blockages at a cost of via and wire width/spacing for every wire isfixed as a constant.IV.2D G LOBAL R OUTINGIn this section,we present2D global routing algorithm.As BoxRouter2.0is inspired by PreRouting and BoxRouting of BoxRouter[1],we take them to generate the initial routing solution as in Fig.2.However,we improve routability con-siderably by our negotiation-based ReRouting.Our technical contributions in2D global routing include the following: 1)Robust negotiation-based A*search:This is an im-portant idea to enable continuous and stable routability improvement during whole rerouting procedure as dis-cussed in Section IV-A.2)Topology-aware wire ripup:The goal is to enhancesolution quality further by providing moreflexibility in rerouting,while honoring the current routing topology.This is presented in Section IV-B.A.Robust Negotiation-based A*searchInstead of maze routing/shortest path algorithm,we adopt A∗search algorithm and use the following cost function.cost i(e)=h i(e)+αp(e)+βd(e)(1) where regarding an edge e,h i(e)is a historic cost at i-th iteration,p(e)is the present congestion cost(utilization),and d(e)is the distance from e to the target.Wefind that there can be a potential stability problem with negotiation-based A*search for highly congested designs which need a large number of iterations.For every iteration, h i(e)is increased,if e is congested.Thus,after many iterations(a)ibm01(b)ibm04Fig.4.Dynamically scaled A*search reduces congestions robustly and stably over iterations.which frequently happens for highly congested designs,h i(e) starts to dominate over p(e).This implies that a presently congested edge becomes cheaper to pass through than a previously congested edge.This may lead to routing instability in a sense that the solution quality may get worse with more iterations due to the unbalance between h i(e)and p(e).Thus, to ensure continuous improvement in routability,the balance between two costs has to be kept.To address this instability problem and make router robust, we scale p(e)by picking the followingαfor Eq.(1).α=max e[h i(e)]p(e)|1.0(2)where p(e)|1.0indicates the congestion cost when there is no available routing capacity in an edge e.Insight behind suchαis to make a presently congested edge(no more routing capac-ity available)passing as expensive as a previously congested edge passing.This will discourage creating new overflows, while avoiding previously congested edges.Fig.4shows the effect of robust negotiation-based A* search by comparing the scaled case(Eq.(2))and unscaled case(α=1)on two benchmark circuits.For the unscaled case, it reduces the overflows faster than the scaled case for a while, but after a certain point,it spins a router out of control and increases the number of overflows.With largerfixed/unscaled α,we may delay spinning out of control,but it will eventually occur after larger number of iterations.This implies that if circuit is too hard to be routed in a few iterations,a router becomes so unstable that it cannot improve the routing quality. Meanwhile,the scaled case stably reduces the number of overflows even after large number of iterations.B.Topology-aware Wire RipupWhen a wire is selected for rerouting,we explore larger flexibility by ripping up some adjacent wires in the same net, while honoring the current routing topology.The reason we need to honor the current topology is because an abrupt change in congestion map can misguide a router with inaccurate congestion estimation.Consider the example in Fig.5where pins are in cir-cle(a,b,c,d,e)and Steiner points or bends are in square (1,2,3,4,5,6).As wire3-4in Fig.5(a)is passing through a congested region in dark area,it will be ripped up for rerouting.Moreover,two connected wires,wire b-3and4-5 are ripped up together as shown in Fig.5(b).The motivation behind our ripping up is that a Steiner point or bend(which(a)before wireripup (b)after wire ripupFig.5.Topology-aware wire ripup improves routing flexibility by ripping up some connected wires,but honors the current routing topology.is not a pin)with degree two such as 3and 4are not critical in terms of routing topology,as they simply bridge two wires.Thus,ripping up wire b -3-4-5provides more flexibility in terms of rerouting,while honoring the current topology.V.L AYER A SSIGNMENTIn this section,we propose a layer assignment for via-minimization based on progressive integer linear programming (ILP).When 2D global routing is finished,layer assignment follows to distribute the wires across the yer as-signment impacts several design objectives,such as timing,noise,and manufacturability,but our layer assignment mainly focuses on via minimization without altering routing topol-ogy.This problem similar to constrained via minimization (CVM)[29]–[31]which is NP-complete [32].A.Via/Blockage-aware Layer AssignmentDepending on layer assignment,the number of vias can be significantly different.Fig.6shows an example of layer assignment for via minimization,where net a ,b ,and c are routed through 2D global routing cells,and pins are shown in circle,while a Steiner point (c 2)in square.The example assumes four metal layers (M 1-M 4),where M 1and M 3are for horizontal wires,M 2and M 4are for vertical wires,and all the pins on M 1.Further,a single routing capacity is assumed(a)2D global routing result for net a ,b ,and c with blockages when M 1-M 4are superposed(b)suboptimal via-aware layer as-signment of 13vias with blockage x and y on M 4(c)optimal via-aware layer as-signment of 11vias with blockage x and y on M 4(d)optimal via-aware layer as-signment of 15vias with blockage x on M 2but y on M 4yer assignment can determine the number of vias as shown in (b)and (c).Also,the location of blockages in 3D can affect routability in (d).TABLE IT HE NOTATIONS IN F IG 7.W (i,s )a set of wires of a net i passing a point s (including pins)P (i )a set of points in a net i N (i )a set of pins in a net i (N (i )⊆P (i ))C (e )a set of wires crossing an edge e r e the available routing capacity of an edge e z ijk a binary variable set to 1if a wire j of a net i is assigned k layerl ij the layer assigned to a wire j of a net i T is the top layer assigned to any wire on a point s ∈P (i )B is the bottom layer assigned to any wire on a point s ∈P (i )min :is ∈P (i )(T is −B is )−αi,j,k z ijk(α 1)s.t :z ijk ∈{0,1}∀i,j,kkz ijk ≤1∀i,j,k k k ·z ijk =l ij ∀i,j,kB is ≤l ij ≤T is ∀(i,j )∈W (i,s )B is =M 1∀s ∈N (i )(i,j,k )∈C (e )z ijk ≤r e∀eFig.7.ILP formulation for via/blockage-aware layer assignmentfor each edge.If a greedy approach (a shorter net is assignedto lower layer)is adopted,it will result in Fig.6(b)with 13vias.But,Fig.6(b)has 2more vias (18%)than the optimal assignment in Fig.6(c).This is simply because the greedy approach cannot capture the global view.Also,as the exact layer information on blockages is diluted in 2D global routing,the layer assignment based on the 2D routing result may not be pare Fig.6(c)and Fig.6(d)where the blockage x is located in M 4and M 2,respectively.In Fig.6(c),both x and y are on M 4,enabling to route wire b 1−b 4on M 2.However,in Fig.6(d),wire b 1−b 4cannot be routed as it is ,as x is on M 2while y is on M 4.Wire b 1−b 4should be chopped into two pieces such that it can shuttle from M 2to M 4as in Fig.6(d).This issue can be easily addressed by chopping wires,wherever a blockage exits,but this may result in not only unnecessary vias,but also computational inefficiency due to more objects to handle.Motivated by the idea in [1],we propose a new ILP formulation for via/blockage-aware layer assignment as shown in Fig.7,where the objective is to complete as many wires as possible,while minimizing the number of vias.This formula-tion is feasible for any blockage distribution.The unassigned wires after solving ILP will be picked up by a maze routing like [1],but ours is simpler and faster (it only needs to shuttle between layers).Therefore,less number of wires will be chopped than the approach of chopping wires for each blockage,resulting in less number of vias in shorter runtime.B.Progressive ILP for Via/Blockage-aware Layer Assignment ILP is computationally expensive,as most solvers use branch-and-bound algorithm.Thus,to apply ILP to industrial designs,the problem size should be tractable,while maintain-ing the global view.We adapt the idea of box expansion and progressive ILP [1]for our layer assignment.TABLE IIISPD07IBM BENCHMARKS[25].name a nets grids v.cap b h.cap b placeradaptec1219794324x3247070Capoadaptec2260159424x4248080mPL6adaptec3466295774x7796262Dragonadaptec4515304774x7796262APlace3adaptec5867441465x468110110mFARnewblue1331663399x3996262NTUplace3.0newblue2463213557x463110110FastPlace3.0newblue3551667973x12568080Kraftwerka2D cases have2layers,but3D cases have6layers.b vertical/horizontal capacityVI.E XPERIMENTAL R ESULTSWe implement BoxRouter2.0in C++,and perform all the experiments on2.8GHz Pentium32bit Linux machine with 2GB RAM.Congestion-aware Steiner tree construction[16] based on Flute[33]is adopted.We use ISPD07benchmark to demonstrate BoxRouter2.0Also,we apply BoxRouter2.0to ISPD98benchmark as well.Details on ISPD07and ISPD98 benchmark are presented in Table II and III respectively. A.ISPD07BenchmarkWe report the results of other global routers entered ISPD-2007routing contest[25]as well as that of BoxRouter2.0on ISPD07benchmark in Table IV.Regarding wirelength,ours is comparable with FGR,but significantly better than BoxRouter 1.9,MaizeRouter(especially for3D benchmark).However, BoxRouter2.0completes the most number of circuits(12 out of16),which ties with BoxRouter 1.9,but with less number of total/maximum overflows.For the uncompleted circuits(newblue1and newblue3),we have smaller number of maximum overflows,which may be easilyfixed during detailed routing.All the results prove that BoxRouter2.0has strong routability,which is the utmost goal of global routing, and provides high quality solution in terms of wirelength/via. BoxRouter2.0requires1.5GB memory and takes more than 2days for the biggest newblue3.3d.B.ISPD98BenchmarkWe use ISPD98benchmark to compare BoxRouter2.0with recently published global routers,Labyrinth,Chi Dispersion, DpRouter,BoxRouter1.0,and FastRoute2.0.Note that as the binaries of ISPD07contestants are not available,we cannot compare with them on ISPD98Benchmark.Table V shows the performance of each router on ISPD98benchmark.We normalize the numbers by those from FastRoute2.0,as it has been the best in the literature.First,it shows that BoxRouter 2.0is the only one which completes ISPD98benchmark without any overflow.We tune BoxRouter2.0for runtime and quality respectively,and compare both results with other global routers as shown in Table V.When tuned for runtime, although slower than FastRoute2.0or DpRouter,ours is4-12x faster than the others.But,better congestion distribu-tion(no overflow)than FastRoute 2.0and DpRouter will be significantly rewarded in detailed routing by huge speed-up.Therefore,higher quality solution should be preferred toTABLE IIIISPD98IBM BENCHMARKS[34].name nets grids v.cap h.cap t.cap a lb.wlen bibm011150764x6412142660142ibm021842980x64223456165863ibm032162180x64203050145678ibm042616396x64202343162734ibm0527777128x644263105409709ibm0633354128x64203353275868ibm0744394192x64213657363537ibm0847944192x64213253402412ibm0950393256x64142842411260ibm1064227256x64274067574407a total capacity:v.cap+h.capb lower bound wlen computed by GeoSteiner3.1[35] runtime in global routing,unless the main purpose of global router is the integration with placement[16].When tuned for quality,ours achieves the best wirelength.VII.C ONCLUSIONModern VLSI design becomes more complex and denser due to the demand for high performance and various function-alities,making routability even more challenging.In order to cope with routability issue,we propose BoxRouter2.0which can robustly eliminate congestion.Experiments demonstrate the performance of BoxRouter2.0in terms of routability and wirelength/via on ISPD07and ISPD98benchmarks.We plan to improve BoxRouter2.0in terms of quality and runtime.R EFERENCES[1]M.Cho and D.Z.Pan,“BoxRouter:A New Global Router Based onBox Expansion and Progressive ILP,”in Proc.Design Automation Conf., July2006.[2]International Technology Roadmap for Semiconductors(ITRS),2006.[3]J.Cong,“Challenges and opportunities for design innovations innanometer technologies,”in SRC Design Science Concept Papers,1997.[4]R.Kastner,E.Bozorgzadeh,and M.Sarrafzadeh,“An Exact Algorithmfor Coupling-Free Routing,”in Proc.Int.Symp.on Physical Design, Apr2001.[5] D.Wu,J.Hu,and R.Mahapatra,“Coupling Aware Timing Optimizationand Antenna Avoidance in Layer Assignment,”in Proc.Int.Symp.on Physical Design,Apr2005.[6]J.Hu and S.Sapatnekar,“A Survey On Multi-net Global Routing forIntegrated Circuits,”Integration,the VLSI Journal,vol.31,no.1,pp.1–49,2002.[7]——,“A Timing-Constrained Algorithm for Simultaneous Global Rout-ing of Multiple Nets,”in Proc.Int.Conf.on Computer Aided Design, Nov2000.[8]J.Westra,P.Groeneveld,T.Yan,and P.H.Madden,“Global Routing:Metrics,Benchmarks,and Tools,”in IEEE DATC Electronic Design Process,Apr2005.[9]T.Kutzschebauch and L.Stok,“Congestion aware layout driven logicsynthesis,”in Proc.Int.Conf.on Computer Aided Design,Nov2001.[10]H.Wenting,Y.Hong,H.Xianlong,C.Y.W.Weimin,G.J.Gu,andW.Kao,“A new congestion-driven placement algorithm based on cell inflation,”in and South Pacific Design Automation Conf.,Jan 2001.[11]U.Brenner and A.Rohe,“An Effective Congestion-Driven PlacementFramework,”IEEE Trans.on Computer-Aided Design of Integrated Circuits and Systems,vol.22,2003.[12]M.Burstein and R.Pelavin,“Hierarchical Wire Routing,”IEEE Trans.on Computer-Aided Design of Integrated Circuits and Systems,vol.2, no.4,pp.223–234,Oct1983.[13]R.Kastner,E.Bozorgzadeh,and M.Sarrafzadeh,“Pattern Routing:Useand Theory for Increasing Predictability and Avoiding Coupling,”IEEE Trans.on Computer-Aided Design of Integrated Circuits and Systems, vol.21,no.7,pp.777–790,July2002.TABLE IVC OMPARISON BETWEEN ISPD07CONTESTANTS AND B OX R OUTER2.0ON ISPD07BENCHMARKS.BoxRouter1.9[25]FGR[25]MaizeRouter[25]FastRouter[25]BoxRouter2.0 name wlen a max.o b ovflc wlen max.o ovflwlen max.o ovflwlen max.o ovflwlen max.o ovfladaptec1.2d58.840055.80062.260090.47412258.3700adaptec2.2d55.690053.690057.230082.461250055.6900adaptec3.2d140.8700133.3400137.7500202.5300137.9600adaptec4.2d128.7500126.0500128.4500170.800127.7900adaptec5.2d164.3200155.8200176.6922251.68769680162.1100newblue1.2d51.13240047.5110121850.9316134874.132193451.132400newblue2.2d79.780077.670079.6400114.950078.6800newblue3.2d d111.64108838976108.18109036970114.63123632588154.59130634236111.61108838958adaptec1.3d104.050090.9226099.6100248.95412292.0400adaptec2.3d102.970092.1950298.1200244.411250094.2800adaptec3.3d235.8700203.4400214.0800523.2100207.4100adaptec4.3d211.9500186.3100194.3800469.3400186.4200adaptec5.3d298.0800264.5822480305.3222707.86769894270.4100newblue1.3d101.83240092.8942668101.74161348248.2634260292.942394newblue2.3d155.0700136.0800139.6600379.600134.6400newblue3.3d d195.51108838976168.4263653648184.4105832840442.72130634236172.4436438958a wirelength:each via is counted as three units of wirelength,b maximum number of overflows on any edgec total number of overflows,d newblue3.2d and newblue3.3d are proven to be unroutable.TABLE VC OMPARISON BETWEEN PUBLISHED GLOBAL ROUTERS AND OURS ON ISPD98B ENCHMARKLabyrinth[13]Chi Dispersion[14]DpRouter a[18]BoxRouter1.0[1]FastRoute2.0a[17]BoxRouter2.0(r b)BoxRouter2.0(q c) name wlen ovflcpu(s)wlen ovflcpu(s)wlen ovflcpu(s)wlen ovflcpu(s)wlen ovflcpu(s)wlen ovflcpu(s)wlen ovflcpu(s) ibm0177K39821.26600618915.1638571250.51655881028.368489310.94665290 3.562659032.8 ibm02205K49234.51788926447.91782613 1.261787593334.11788680 1.161800530 4.6171110035.9 ibm03185K20936.31523921035.215066300.78151299016.915039300.751511850 3.5146634017.6 ibm04197K88283.517324146554.1172608165 1.9317328930923.917503764 1.88176765027.41672750115.9 ibm06346K834104.32892763580.128602514 2.41282325033.02849350 2.3528842008.4277913047.4 ibm07449K697228.1378994309122.237913399 2.943788765350.93751850 2.00377072014.4365790085.9 ibm08470K665238.741528574113.841230856 3.34415025093.24117030 2.95418285017.1405634090.1 ibm09481K505359.342755652125.141919947 2.56418615063.94249493 2.40431298017.14138620273.1 ibm10680K588435.759993751212.959846046 4.14593186095.15956220 3.49610680017.25901410352.4 total3089K5.2K1541.62682K1249806.42661K55519.92657K497419.32665K9817.92700K0113.42601K01151.1 ratio 1.1653.886.0 1.0112.745.0 1.00 5.7 1.1 1.00 5.123.4 1.00 1.0 1.0 1.010.0 6.30.980.058.7a the numbers are quoted from[18]and[17]respectively,and runtimes are scaled based on Labyrinth speed.b tuned for runtime,c tuned for quality[14]R.T.Hadsell and P.H.Madden,“Improved Global Routing throughCongestion Estimation,”in Proc.Design Automation Conf.,Jun2003.[15] C.Albrecht,“Global Routing by New Approximation Algorithms forMulticommodity Flow,”IEEE Trans.on Computer-Aided Design of Integrated Circuits and Systems,vol.20,no.5,pp.622–632,May2001.[16]M.Pan and C.Chu,“FastRoute:A Step to Integrate Global Routinginto Placement,”in Proc.Int.Conf.on Computer Aided Design,Nov 2006.[17]——,“FastRoute2.0:A High-quality and Efficient Global Router,”in and South Pacific Design Automation Conf.,Jan2007. [18]Z.Cao,T.Jing,J.Xiong,Y.Hu,L.He,and X.Hong,“DpRouter:AFast and Accurate Dynamic-Pattern-Based Global Routing Algorithm,”in and South Pacific Design Automation Conf.,Jan2007.[19]R.Kay and R. A.Rutenbar,“Wire packing:A strong formulationof crosstalk-aware chip-level track/layer assignment with an efficient integer programming solution,”in Proc.Int.Symp.on Physical Design, 2000.[20] D.Wu,J.Hu,R.Mahapatra,and M.Zhao,“Layer assignment forcrosstalk risk minimization,”in and South Pacific Design Automation Conf.,Jan2004.[21]M.Cho,H.Xiang,R.Puri,and D.Z.Pan,“Wire Density Driven GlobalRouting for CMP Variation and Timing,”in Proc.Int.Conf.on Computer Aided Design,Nov2006.[22] D.Wu,J.Hu,and R.Mahapatra,“Antenna avoidance in layer assign-ment,”IEEE Trans.on Computer-Aided Design of Integrated Circuits and Systems,vol.25,2006.[23]G.Xu,L.Huang,D.Z.Pan,and D.F.Wong,“Redundant-Via EnhancedMaze Routing for Yield Improvement,”in and South Pacific Design Automation Conf.,Jan2005.[24]K.-Y.Lee and T.-C.Wang,“Post-Routing Redundant Via Insertion forYield/Reliability Improvement,”in and South Pacific Design Automation Conf.,Jan2006.[25]/ispd07contest.html.[26]J.Westra, C.Bartels,and P.Groeneveld,“Probabilistic CongestionPrediction,”in Proc.Int.Symp.on Physical Design,Apr2004. [27]——,“Is Probabilistic Congestion Estimation Worthwhile?”in Proc.System-Level Interconnect Prediction,Apr2005.[28]L.McMurchie and C.Ebeling,“PathFinder:A Negotiation-BasedPerformance-Driven Router for FPGAs,”in ACM Symposium on FPGAs, Feb1995.[29]K.C.Chang and H.C.Du,“Layer assignment problem for three-layerrouting,”IEEE Trans.on Computers,vol.37,1988.[30] C.-C.Chang and J.Cong,“An efficient approach to multilayer layerassignment with an application to via minimization,”IEEE Trans.on Computer-Aided Design of Integrated Circuits and Systems,vol.18, 1999.[31]K.Ahn and S.Sahni,“Constrained via minimization,”IEEE Trans.onComputer-Aided Design of Integrated Circuits and Systems,vol.12, 1993.[32]N.Naclerio,S.Masuda,and K.Nakajima,“The via minimizationproblem is NP-complete,”IEEE Trans.on Computers,vol.38,1989.[33] C.C.N.Chu,“FLUTE:Fast Lookup Table Based Wirelength EstimationTechnique,”in Proc.Int.Conf.on Computer Aided Design,Nov2004.[34]/∼kastner/labyrinth/.[35]http://www.diku.dk/geosteiner/.。

芯片相关简写

芯片相关简写

1、CPU3DNow!(3D no waiting,无须等待的3D处理)AAM(AMD Analyst Meeting,AMD分析家会议)ABP(Advanced Branch Prediction,高级分支预测)ACG(Aggressive Clock Gating,主动时钟选择)AIS(Alternate Instruction Set,交替指令集)ALAT(advanced load table,高级载入表)ALU(Arithmetic Logic Unit,算术逻辑单元)Aluminum(铝)AGU(Address Generation Units,地址产成单元)APC(Advanced Power Control,高级能源控制)APIC(Advanced rogrammable Interrupt Controller,高级可编程中断控制器)APS(Alternate Phase Shifting,交替相位跳转)ASB(Advanced System Buffering,高级系统缓冲)ATC(Advanced Transfer Cache,高级转移缓存)ATD(Assembly Technology Development,装配技术发展)BBUL(Bumpless Build-Up Layer,内建非凹凸层)BGA(Ball Grid Array,球状网阵排列)BHT(branch prediction table,分支预测表)Bops(Billion Operations Per Second,10亿操作/秒)BPU(Branch Processing Unit,分支处理单元)BP(Brach Pediction,分支预测)BSP(Boot Strap Processor,启动捆绑处理器)BTAC(Branch Target Address Calculator,分支目标寻址计算器)CBGA (Ceramic Ball Grid Array,陶瓷球状网阵排列)CDIP (Ceramic Dual-In-Line,陶瓷双重直线)Center Processing Unit Utilization,中央处理器占用率CFM(cubic feet per minute,立方英尺/秒)CMT(course-grained multithreading,过程消除多线程)CMOS(Complementary Metal Oxide Semiconductor,互补金属氧化物半导体)CMOV(conditional move instruction,条件移动指令)CISC(Complex Instruction Set Computing,复杂指令集计算机)CLK(Clock Cycle,时钟周期)CMP(on-chip multiprocessor,片内多重处理)CMS(Code Morphing Software,代码变形软件)co-CPU(cooperative CPU,协处理器)COB(Cache on board,板上集成缓存,做在CPU卡上的二级缓存,通常是内核的一半速度))COD(Cache on Die,芯片内核集成缓存)Copper(铜)CPGA(Ceramic Pin Grid Array,陶瓷针型栅格阵列)CPI(cycles per instruction,周期/指令)CPLD(Complex Programmable Logic Device,复杂可程式化逻辑元件)CPU(Center Processing Unit,中央处理器)CRT(Cooperative Redundant Threads,协同多余线程)CSP(Chip Scale Package,芯片比例封装)CXT(Chooper eXTend,增强形K6-2内核,即K6-3)Data Forwarding(数据前送)dB(decibel,分贝)DCLK(Dot Clock,点时钟)DCT(DRAM Controller,DRAM控制器)DDT(Dynamic Deferred Transaction,动态延期处理)Decode(指令解码)DIB(Dual Independent Bus,双重独立总线)DMT(Dynamic Multithreading Architecture,动态多线程结构)DP(Dual Processor,双处理器)DSM(Dedicated Stack Manager,专门堆栈管理)DSMT(Dynamic Simultaneous Multithreading,动态同步多线程)DST(Depleted Substrate Transistor,衰竭型底层晶体管)DTV(Dual Threshold Voltage,双重极限电压)DUV(Deep Ultra-Violet,纵深紫外光)EBGA(Enhanced Ball Grid Array,增强形球状网阵排列)EBL(electron beam lithography,电子束平版印刷)EC(Embedded Controller,嵌入式控制器)EDEC(Early Decode,早期解码)Embedded Chips(嵌入式)EPA(edge pin array,边缘针脚阵列)EPF(Embedded Processor Forum,嵌入式处理器论坛)EPL(electron projection lithography,电子发射平版印刷)EPM(Enhanced Power Management,增强形能源管理)EPIC(explicitly parallel instruction code,并行指令代码)EUV(Extreme Ultra Violet,紫外光)EUV(extreme ultraviolet lithography,极端紫外平版印刷)FADD(Floationg Point Addition,浮点加)FBGA(Fine-Pitch Ball Grid Array,精细倾斜球状网阵排列)FBGA(flipchip BGA,轻型芯片BGA)FC-BGA(Flip-Chip Ball Grid Array,反转芯片球形栅格阵列)FC-LGA(Flip-Chip Land Grid Array,反转接点栅格阵列)FC-PGA(Flip-Chip Pin Grid Array,反转芯片针脚栅格阵列)FDIV(Floationg Point Divide,浮点除)FEMMS:Fast Entry/Exit Multimedia State,快速进入/退出多媒体状态FFT(fast Fourier transform,快速热欧姆转换)FGM(Fine-Grained Multithreading,高级多线程)FID(FID:Frequency identify,频率鉴别号码)FIFO(First Input First Output,先入先出队列)FISC(Fast Instruction Set Computer,快速指令集计算机)flip-chip(芯片反转)FLOPs(Floating Point Operations Per Second,浮点操作/秒)FMT(fine-grained multithreading,纯消除多线程)FMUL(Floationg Point Multiplication,浮点乘)FPRs(floating-point registers,浮点寄存器)FPU(Float Point Unit,浮点运算单元)FSUB(Floationg Point Subtraction,浮点减)GFD(Gold finger Device,金手指超频设备)GHC(Global History Counter,通用历史计数器)GTL(Gunning Transceiver Logic,射电收发逻辑电路)GVPP(Generic Visual Perception Processor,常规视觉处理器)HL-PBGA: 表面黏著,高耐热、轻薄型塑胶球状网阵封装HTT(Hyper-Threading Technology,超级线程技术)Hz(hertz,赫兹,频率单位)IA(Intel Architecture,英特尔架构)IAA(Intel Application Accelerator,英特尔应用程序加速器)ICU(Instruction Control Unit,指令控制单元)ID(identify,鉴别号码)IDF(Intel Developer Forum,英特尔开发者论坛)IEU(Integer Execution Units,整数执行单元)IHS(Integrated Heat Spreader,完整热量扩展)ILP(Instruction Level Parallelism,指令级平行运算)IMM: Intel Mobile Module, 英特尔移动模块Instructions Cache,指令缓存Instruction Coloring(指令分类)IOPs(Integer Operations Per Second,整数操作/秒)IPC(Instructions Per Clock Cycle,指令/时钟周期)ISA(instruction set architecture,指令集架构)ISD(inbuilt speed-throttling device,内藏速度控制设备)ITC(Instruction Trace Cache,指令追踪缓存)ITRS(International Technology Roadmap for Semiconductors,国际半导体技术发展蓝图)KNI(Katmai New Instructions,Katmai新指令集,即SSE)Latency(潜伏期)LDT(Lightning Data Transport,闪电数据传输总线)LFU(Legacy Function Unit,传统功能单元)LGA(land grid array,接点栅格阵列)LN2(Liquid Nitrogen,液氮)Local Interconnect(局域互连)MAC(multiply-accumulate,累积乘法)mBGA (Micro Ball Grid Array,微型球状网阵排列)nm(namometer,十亿分之一米/毫微米)MCA(machine check architecture,机器检查体系)MCU(Micro-Controller Unit,微控制器单元)MCT(Memory Controller,内存控制器)MESI(Modified, Exclusive, Shared, Invalid:修改、排除、共享、废弃)MF(MicroOps Fusion,微指令合并)mm(micron metric,微米)MMX(MultiMedia Extensions,多媒体扩展指令集)MMU(Multimedia Unit,多媒体单元)MMU(Memory Management Unit,内存管理单元)MN(model numbers,型号数字)MFLOPS(Million Floationg Point/Second,每秒百万个浮点操作)MHz(megahertz,兆赫)mil(PCB 或晶片布局的长度单位,1 mil = 千分之一英寸)MIPS(Million Instruction Per Second,百万条指令/秒)MOESI(Modified, Owned, Exclusive, Shared or Invalid,修改、自有、排除、共享或无效)MOF(Micro Ops Fusion,微操作熔合)Mops(Million Operations Per Second,百万次操作/秒)MP(Multi-Processing,多重处理器架构)MPF(Micro processor Forum,微处理器论坛)MPU(Microprocessor Unit,微处理器)MPS(MultiProcessor Specification,多重处理器规范)MSRs(Model-Specific Registers,特别模块寄存器)MSV(Multiprocessor Specification Version,多处理器规范版本)NAOC(no-account OverClock,无效超频)NI(Non-Intel,非英特尔)NOP(no operation,非操作指令)NRE(Non-Recurring Engineering charge,非重复性工程费用)OBGA(Organic Ball Grid Arral,有机球状网阵排列)OCPL(Off Center Parting Line,远离中心部分线队列)OLGA(Organic Land Grid Array,有机平面网阵包装)OoO(Out of Order,乱序执行)OPC(Optical Proximity Correction,光学临近修正)OPGA(Organic Pin Grid Array,有机塑料针型栅格阵列)OPN(Ordering Part Number,分类零件号码)PAT(Performance Acceleration Technology,性能加速技术)PBGA(Plastic Pin Ball Grid Array,塑胶球状网阵排列)PDIP (Plastic Dual-In-Line,塑料双重直线)PDP(Parallel Data Processing,并行数据处理)PGA(Pin-Grid Array,引脚网格阵列),耗电大PLCC (Plastic Leaded Chip Carriers,塑料行间芯片运载)Post-RISC(加速RISC,或后RISC)PR(Performance Rate,性能比率)PIB(Processor In a Box,盒装处理器)PM(Pseudo-Multithreading,假多线程)PPGA(Plastic Pin Grid Array,塑胶针状网阵封装)PQFP(Plastic Quad Flat Package,塑料方块平面封装)PSN(Processor Serial numbers,处理器序列号)QFP(Quad Flat Package,方块平面封装)QSPS(Quick Start Power State,快速启动能源状态)RAS(Return Address Stack,返回地址堆栈)RAW(Read after Write,写后读)REE(Rapid Execution Engine,快速执行引擎)Register Contention(抢占寄存器)Register Pressure(寄存器不足)Register Renaming(寄存器重命名)Remark(芯片频率重标识)Resource contention(资源冲突)Retirement(指令引退)RISC(Reduced Instruction Set Computing,精简指令集计算机)ROB(Re-Order Buffer,重排序缓冲区)RSE(register stack engine,寄存器堆栈引擎)RTL(Register Transfer Level,暂存器转换层。

A 5GHz resistive-feedback CMOS LNA for low-cost multi-standard applications

A 5GHz resistive-feedback CMOS LNA for low-cost multi-standard applications

Figures 11.5.4 and 11.5.5 show the impact of RF, CF and CPFB on circuit performance. It was found that these parameters have negligible effect on linearity, and varying RF by ±12.5% will change the noise figure by +0.1dB. Figure 11.5.6 is a table comparing our reported performance to other published data. The power is somewhat high but could be lowered, particularly if less gain and/or bandwidth are required. Note the power in M4 would typically be associated with the mixer in a receiver, and is 6.8mW in our design. Figure 11.5.7 is a micrograph of one of the LNAs and output driver.
erly sizing RL, M3 and I3 as explained below. It is well known that
the input impedance of the source of M3 is inductive, given by
LEQ
#
Cgs3
RL gm3
RL 2Sft3 , (2)
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
This work is partially supported by the NSF Young Investigator Award MIP-9357582 and a grant from Intel under the California MICRO Program.
ABSTRACT
consideration of the coupling capacitance, in addition to the area and fringing capacitances. In Section 2, we introduce the problem formulation for symmetric and asymmetric wire sizing and spacing for both single and multiple nets. In Section 3, we present a dynamic programming based algorithm for single net optimization. Then in Section 4, we reveal two e ective-fringing properties for both symmetric and asymmetric wire-sizing, and propose a very e cient bound computation algorithm to compute the upper and lower bounds of the optimal wire sizing and spacing solution for all nets, not just one net, under consideration. Experimental results in Section 5 show that the algorithm often leads to identical lower and upper bounds, and therefore achieves optimal solutions. It gives substantial improvement over the single net wire-sizing algorithm without coupling capacitance consideration. Discussion and Future work will be given in Section 6.
1. INTRODUCTION
2. PROBLEM FORMULATION 2.1. Symmetric and Asymmetric Wire Sizing Given a layout of n nets, denoted Ni for i = 1:::n. Net Ni consists of ni + 1 terminals fsi0 ; ; sini g connected by a routing tree, denoted Ti . si is the source of Ni , and the 0
GLOBAL INTERCONNECT SIZING AND SPACING WITH CONSIDERATION OF COUPLING CAPACITANCE
Jason Cong, Lei He, Cheng-Kok Koh, and Zhigang Pan Department of Computer Science University of California, Los Angeles, CA 90095
driver Di at the source has an e ective output resistance of Ri . The rest of the terminals are sinks. The terminals (source and sinks) of Ti are at xed locations, and Ti coni i sists of mi wire segments denoted by fE1 ; ; Emi g. The center-line of a wire segment divides the original wire segment evenly. In Figure 1(a), for example, two horizontal wire segments E1 and E2 are shown with their center-lines. We assume that the center-line for each wire segment is xed during wire sizing and spacing. Each wire segment has a set of discrete choices of wire widths fW1 = Wmin ; W2 ; ; Wr g. We use wE to denote the width of the wire segment E . All previous works implicitly assumed symmetric wire-sizing, which widens or narrows each wire segment in a symmetric way above and below the center-line of the original wire segment. An example of symmetric wire-sizing of the two wire segments E1 and E2 with a neighboring net is shown in Figure 1(b). However, symmetric wire-sizing may be too restrictive for interconnect sizing and spacing, especially when coupling capacitance is considered. In this paper, we propose an asymmetric wire-sizing scheme where we may widen or narrow above and below the center-line of the original wire segment asymmetrically. Using the same example as in Figure 1(b), we would like E1 to be farther away from its neighboring wire. As a result, we grow only the bottom half of the wire segment, keeping the top half intact, as shown in # " Figure 1(c). Let wE (wE ) represent the ws paper presents an e cient approach to perform global interconnect sizing and spacing (GISS) for multiple nets to minimize interconnect delays with consideration of coupling capacitance, in addition to area and fringing capacitances. We introduce the formulation of symmetric and asymmetric wire sizing and spacing. We prove two important results on the symmetric and asymmetric e ective-fringing properties which lead to a very e ective bound computation algorithm to compute the upper and lower bounds of the optimal wire sizing and spacing solution for all nets under consideration. Our experiments show that in most cases the upper and lower bounds meet quickly after a few iterations and we actually obtain the optimal solution. To our knowledge, this is the rst in-depth study of global wire sizing and spacing for multiple nets with consideration of coupling capacitance. Experimental results show that our GISS solutions lead to substantial delay reduction than existing single net wire-sizing solutions without consideration of coupling capacitance. Since the formulation of the optimal wire-sizing problem 1], there have been extensive studies in recent years on optimal wire-sizing algorithm. Most early works used Elmore delay model 2] for interconnects and study the discrete wire sizing 1, 3, 4] and continuous wire shaping or sizing 5, 6]. The wire-sizing problem is also studied under high-order delay model in 7, 8]. A comprehensive survey of these optimization techniques can be found in 9]. These works showed that signi cant delay reduction can be achieved by optimal wire-sizing in submicron designs. However, none of them explicitly considered the coupling capacitance. As VLSI technology continues to push toward deep submicron, the coupling capacitance between adjacent wires has become the dominating component in the total interconnect capacitance, due to the decreasing spacing between adjacent wires and the increasing wire aspect ratio for deep submicron processes. Therefore, it is unlikely that an optimal wire-sizing solution which considers only the area and fringing capacitances would remain optimal when the coupling capacitance is considered. High coupling capacitance in deep submicron design results in both noise (capacitive crosstalk) and additional delay. In this paper, we study the global interconnect sizing and spacing (GISS) problem for delay minimization with
相关文档
最新文档