EDA-24进制计数器实验报告

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

《EDA技术》课程实验报告

学生姓名:

所在班级:电信1001班

指导教师:

记分及评价:

一、实验名称

实验1:24进制计数器的设计

二、任务及要求

【基本部分】5分

1、在QuartusII平台上,采用原理图输入设计方法,调用两片74160十进制计数器,采

用反馈置数法,完成一个24进制同步计数器的设计,并进行时序仿真。

2、要求具备使能功能和异步清零功能。

3、设计完成后生成一个元件,以供更高层次的设计调用。

4、实验箱上选择恰当的模式进行验证,目标芯片为ACEX1K系列EP1K30TC144-3。

三、实验程序(原理图)

四、仿真及结果分析

五、硬件验证

1、选择模式:

模式7

2、引脚锁定情况表:

六、小结

初次接触EDA让自己学到了很多的知识,实验中让自己的思维更加的开阔,对这门课程有了一定的了解,也很感兴趣。总之的收获了不少的知识。

相关文档
最新文档