EDA实验报告8路彩灯

合集下载

八路彩灯

八路彩灯

河南工业大学EDA技术实验报告专业电科班级1301姓名田学号201316030实验地点6316+ 6515 实验日期2015-11-27成绩评定一、实验项目八路彩灯控制器二、实验目的有八个发光二极管,要求设计花型,使其按照设定的花型随时钟循环点亮。

在Quartus II 中用VHDL语言输入控制器的源程序,然后进行编译,仿真,以保证控制器功能的正确性。

引脚锁定及硬件测试三、实验原理LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY caideng ISPORT (clk: IN STD_LOGIC;A,B,C,D,E,F,G,H: OUT STD_LOGIC );END caideng;ARCHITECTURE cd OF caideng ISTYPE states IS (S0,S1,S2,S3,S4,S5,S6,S7,S8,S9,S10,S11);SIGNAL present_state, next_state: states;BEGINP1: PROCESS(present_state,clk)BEGINIF clk'EVENT AND CLK='1'THENCASE present_state ISWHEN S0=>A<='1';B<='1';C<='1';D<='1';E<='1';F<='1';G<='1';H<='1';next_state<=S1;WHEN S1=>A<='1';B<='1';C<='1';D<='0';E<='0';F<='1';G<='1';H<='1';next_state<=S2;WHEN S2=>A<='1';B<='1';C<='0';D<='0';E<='0';F<='0';G<='1';H<='1';next_state<=S3;WHEN S3=>A<='1';B<='0';C<='0';D<='0';E<='0';F<='0';G<='0';H<='1';next_state<=S4;WHEN S4=>A<='0';B<='0';C<='0';D<='0';E<='0';F<='0';G<='0';H<='0';next_state<=S5;WHEN S5=>A<='1';B<='0';C<='1';D<='0';E<='1';F<='0';G<='1';H<='0';next_state<=S6;WHEN S6=>A<='1';B<='0';C<='1';D<='0';E<='1';F<='0';G<='1';H<='0';next_state<=S7;WHEN S7=>A<='0';B<='1';C<='0';D<='1';E<='0';F<='1';G<='0';H<='1';next_state<=S8;WHEN S8=>A<='0';B<='1';C<='0';D<='1';E<='0';F<='1';G<='0';H<='1';next_state<=S9;WHEN S9=>A<='0';B<='0';C<='0';D<='0';E<='0';F<='0';G<='0';H<='0';next_state<=S10;WHEN S10=>A<='0';B<='0';C<='0';D<='1';E<='1';F<='0';G<='0';H<='0';next_state<=S11;WHEN S11=>A<='0';B<='0';C<='1';D<='1';E<='1';F<='1';G<='0';H<='0';next_state<=S0;END CASE;END IF;END PROCESS P1;P2:PROCESS (clk)BEGINIF clk'EVENT AND clk='1' THENpresent_state<=next_state;END IF;END PROCESS P2;END cd;我设计的八路彩灯控制电路共有三种花型,用状态机结构设计循环彩灯控制器实现三种花型的交替变化,花型一:八个灯全亮,然后从中间向两边依次成对熄灭,直至全灭,花型二:八个灯明暗相隔,每个状态持续两个时钟周期。

EDA课程设计:八路彩灯控制器

EDA课程设计:八路彩灯控制器

EDA课程设计:八路彩灯控制器第一篇:EDA课程设计:八路彩灯控制器EDA课程设计设计题目:基于VHDL的8路彩灯控制器设计一、课程设计的目的1.熟悉QuartusⅡ软件的使用方法,使用VHDL 文本输入设计法进行任务设计。

2.增强自己实际动手能力,独立解决问题的能力。

3.通过课程设计对所学的知识进行更新及巩固.二、课程设计的基本要求本次课程设计是设计一个8路彩灯控制器,能够控制8路彩灯按照两种节拍,三种花型循环变化。

设计完成后,通过仿真验证与设计要求进行对比,检验设计是否正确。

三、课程设计的内容编写硬件描述语言VHDL程序,设计一个两种节拍、三种花型循环变化的8路彩灯控制器,两种节拍分别为0.25s和0.5s。

三种花型分别是:(1)8路彩灯分成两半,从左至右顺次渐渐点亮,全亮后则全灭。

(2)从中间到两边对称地渐渐点亮,全亮后仍由中间向两边逐次熄灭。

(3)8路彩灯从左至右按次序依次点亮,全亮后逆次序依次熄灭。

四、实验环境PC机一台;软件Quartu sⅡ6.0五、课程设计具体步骤及仿真结果1、系统总体设计框架结构分频模块:把时钟脉冲二分频,得到另一个时钟脉冲,让这两种时钟脉冲来交替控制花型的速度。

二选一模块:选择两种频率中的一个控制彩灯的花型。

8路彩灯的三种花型控制模块:整个系统的枢纽,显示彩灯亮的情况。

2、系统硬件单元电路设计1.分频模块设计实验程序:library ieee;use ieee.std_logic_1164.all;entity fenpin2 isport(clk:in std_logic;clkk:out std_logic);end fenpin2;architecture behav of fenpin2 is beginprocess(clk)variable clkk1:std_logic:='0';beginif clk'event and clk='1' thenclkk1:= not clkk1;end if;clkk<=clkk1;end process;end behav;RTL电路图:波形图:2.二选一模块设计实验程序:library ieee;use ieee.std_logic_1164.all;entity mux21 is port(a,b,s:in std_logic;y:out std_logic);end mux21;architecture behave of mux21 is begin process(a,b,s)begin if s='0' then y<=a;else y<=b;end if;end process;end behave;RTL电路图:波形图:3.8路彩灯的三种花型控制模块设计程序: library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity color8 is port(clk,rst :in std_logic;q:out std_logic_vector(7 downto 0));end;architecture a of color8 is signal s:std_logic_vector(4 downto 0);begin process(s,clk)begin if rst='1' then s<=“00000”;elsif clk'event and clk= '1' then if s=“11111” thens<=“00000”;else s<=s+1;end if;case s is when “00000”=>q<=“00000000”;when“00001”=>q<=“10001000”;when“00010”=>q<=“11001100”;when“00011”=>q<=“11101110”;when “00100”=>q<=“11111111”;when “00101”=>q<=“00000000”;when“00110”=>q<=“00011000”;when“00111”=>q<=“00111100”;when“01000”=>q<=“01111110”;when“01001”=>q<=“11111111”;when“01010”=>q<=“11100111”;when“01011”=>q<=“11000011”;when“01100”=>q<=“10000001”;when“01101”=>q<=“00000000”;when“01110”=>q<=“10000000”;when“01111”=>q<=“11000000”;when“10000”=>q<=“11100000”;when“10001”=>q<=“11110000”;when“10010”=>q<=“11111000”;when“10011”=>q<=“11111100”;when“10100”=>q<=“11111110”;when“10101”=>q<=“11111111”;when“10110”=>q<=“11111110”;when“10111”=>q<=“11111100”;w hen“11000”=>q<=“11111000”;when“11001”=>q<=“11110000”;when“11010”=>q<=“11100000”;when“11011”=>q<=“11000000”;when“11100”=>q<=“10000000”;when“11101”=>q<=“00000000”;when others=>null;end case;end if;end process;end;RTL电路图:波形图:4.综合程序library ieee;use ieee.std_logic_1164.all;entity fenpin2 isport(clk:in std_logic;clkk:out std_logic);end fenpin2;architecture behav of fenpin2 is beginprocess(clk)variable clkk1:std_logic:='0';beginif clk'event and clk='1' thenend if;clkk<=clkk1;end process;end behav;library ieee;use ieee.std_logic_1164.all;entity mux21 is port(a,b,s:in std_logic;y:out std_logic);end mux21;architecture behave of mux21 is begin process(a,b,s)begin if s='0' then y<=a;else y<=b;end if;end process;end behave;library ieee;clkk1:= not clkk1;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity color8 is port(clk,rst :in std_logic;q:out std_logic_vector(7 downto 0));end;architecture a of color8 is signal s:std_logic_vector(4 downto 0);begin process(s,clk)begin if rst='1' then s<=“00000”;elsif clk'event and clk= '1' then if s=“11111” thens<=“00000”;else s<=s+1;end if;case s is when “00000”=>q<=“00000000”;when“00001”=>q<=“10001000”;when“00010”=>q<=“11001100”;when“00011”=>q<=“11101110”;when“00100”=>q<=“11111111”;when“00101”=>q<=“00000000”;when“00110”=>q<=“00011000”;when“00111”=>q<=“00111100”;when“01000”=>q<=“01111110”;when“01001”=>q<=“11111111”;when“01010”=>q<=“11100111”;when“01011”=>q<=“11000011”;when “01100”=>q<=“10000001”;when “01101”=>q<=“00000000”;when“01110”=>q<=“10000000”;when“01111”=>q<=“11000000”;when“10000”=>q<=“11100000”;when“10001”=>q<=“11110000”;when“10010”=>q<=“11111000”;when“10011”=>q<=“11111100”;when“10100”=>q<=“11111110”;when“10101”=>q<=“11111111”;when“10110”=>q<=“11111110”;when“10111”=>q<=“11111100”;when“11000”=>q<=“11111000”;when“11001”=>q<=“11110000”;when“11010”=>q<=“11100000”;when“11011”=>q<=“11000000”;when“11100”=>q<=“10000000”;when“11101”=>q<=“00000000”;when others=>null;end case;end if;end process;end;library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity balucaideng is port(clk,s,rst:in std_logic;q:out std_logic_vector(7 downto 0));end;architecture one of balucaideng issignal h0,h1:std_logic;component fenpin2port(clk:in std_logic;clkk:out std_logic);end component;component mux21 port(a,b,s:in std_logic;y:out std_logic);end component;component color8 port(clk,rst :in std_logic;q:out std_logic_vector(7 downto 0));end component;begin u1: fenpin2 port map(clk=>clk,clkk=>h0);u2: mux21 port map(a=>h0,b=>clk,s=>s;y=>h1);u3: color8 port map(clk=>h1,rst=>rst,q=>q);end;波形图:六、实验总结第二篇:eda课程设计-彩灯控制器1.设计目的学习EDA开发软件和MAX+plus Ⅱ的使用方法,熟悉可编程逻辑器件的使用,通过制作来了解彩灯控制系统。

EDA实验设计报告流水灯

EDA实验设计报告流水灯

EDA实验设计报告姓名:张炫学号:2011128085班级:11电工设计内容:设计8位流水灯的4种循环模式,并用quartusII进行编译和仿真,用LED灯实现需求。

控制系统方案:四种循环模式(1)灯从右到左依次都闪亮(2)灯从右向左逐次闪亮(3)灯从右向左逐次闪亮(4) 从右向左每间隔一个闪亮设计方案:通过复位端reset 与按键端right,left,shan,yici控制,当复位reset为 1 时led 灯最左端点亮,再通过else-if语句控制试验程序:module light(clk,reset,right,left,shan,yici,led);input clk,reset,right,left,shan,yici;output[7:0] led;reg[7:0] led;always @ (posedge clk)beginif(reset)beginled<=8’b00000001;endelsebeginif(yici)beginled<=((led<<1)+8’b00000001);if(led==8’b11111111)led<=8’b00000001;endif(right)beginled<=led>>1;if(led==8’b00000001)led<=8’b10000000;endif(left)beginled<=led<<1;if(led==8’b10000000)led<=8’b00000001;endif(shan)beginif(led==8’b01010101)led<=8’b10101010;elseled<=8’b01010101;endendendendmodule实验总结:经过本次课程设计使我进一步巩固了从课堂上学到的知识,虽然在实验过程中多次出错,但通过不断的检查和调试终于成功的实现了8位流水灯的控制。

EDA8路彩灯课程设计

EDA8路彩灯课程设计

EDA8路彩灯课程设计一、课程目标知识目标:1. 学生能理解EDA8路彩灯的基本原理,掌握电路设计与搭建的基本知识。

2. 学生能描述并解释彩灯控制电路中各个元件的功能及相互关系。

3. 学生掌握基本的编程知识,能够通过编程控制EDA8路彩灯的显示效果。

技能目标:1. 学生能够运用所学知识,设计并搭建简单的EDA8路彩灯控制电路。

2. 学生能够编写简单的程序代码,实现彩灯的不同显示效果。

3. 学生能够通过实践操作,培养动手能力和团队协作能力。

情感态度价值观目标:1. 学生对电子设计与编程产生兴趣,提高学习的积极性和主动性。

2. 学生在实践过程中,培养解决问题的耐心和毅力,增强自信心。

3. 学生通过团队合作,培养沟通与协作能力,提高集体荣誉感。

本课程旨在让学生结合课本知识,通过实践操作,掌握EDA8路彩灯的设计与搭建方法。

课程注重培养学生的动手能力、编程思维和团队协作能力,激发学生对电子技术的兴趣,提高学生的综合素质。

在教学过程中,教师需关注学生的个体差异,因材施教,确保课程目标的实现。

通过本课程的学习,学生将能够达到以上所述的知识、技能和情感态度价值观目标。

二、教学内容本章节教学内容主要包括以下三个方面:1. EDA8路彩灯基础知识:- 介绍EDA8路彩灯的基本原理及电路组成,关联教材中有关电子元件、电路原理的内容。

- 分析彩灯控制电路中各个元件的功能及相互关系,对应教材中相关章节。

2. 编程与控制:- 指导学生掌握基本的编程知识,如循环结构、条件语句等,关联教材中编程语言的基础知识。

- 引导学生编写简单的程序代码,实现EDA8路彩灯的不同显示效果,对应教材中编程实践内容。

3. 实践操作与团队协作:- 安排学生进行EDA8路彩灯的设计与搭建,结合教材中实践操作环节,培养学生动手能力。

- 组织学生进行团队协作,共同完成彩灯控制项目,提高学生的沟通与协作能力。

教学大纲安排如下:1. 基础知识学习(1课时)2. 编程知识讲解与实践(2课时)3. EDA8路彩灯设计与搭建(2课时)4. 团队协作与展示(1课时)教学内容注重科学性和系统性,结合教材章节,确保学生在掌握理论知识的基础上,能够顺利进行实践操作,达到课程目标。

数字电路课程设计--八路彩灯控制实验

数字电路课程设计--八路彩灯控制实验

《数字电子技术》课程设计报告八路彩灯控制实验要求:一、功能要求设计一个8路移存型彩灯控制器,基本要求:1. 8路彩灯能演示三种花型(花型自拟);2. 彩灯用发光二极管LED模拟;二、设计要求1、根据设计要求选择合适的元器件,并掌握其使用方法;2、画出电路原理图(或仿真电路图);3、先进行电路的仿真与调试,通过后才能答辩;4、画出PCB图。

三、制作要求自行装配和调试,具有一定的分析和解决问题的能力。

四、设计报告的编写要求编写设计报告,附上有关资料和图纸,并谈谈自己心得和体会。

姓名:张双学号:1886100128 专业:电子科学与技术班级:电子101班成绩:评阅人:安徽科技学院理学院物电系八路彩灯控制实验彩灯电路实验将传统的4个分离的电路移位寄存器,计数器(分频器),555和组合电路实验综合为一个完整的设计型的时序、组合电路综合实验。

电路中包含8组发光管(每组发光二极管的数量可以根据需要,通过串并联和加电阻等控制调节),74LS161(四位二进制同步计数器)、74LS194(移位寄存器)、74LS151(八选一数据选择器)、74LS74(双D 触发器)、74LS00(四二输入与非门)、74LS04(六非门)、555 等其他元件组合而成。

由二片移位寄存器194实现。

其八个输出信号端连接八个发光二极管,用其输出信号控制发光二级管的亮灭实现花型演示。

而花型之间的变化通过花型控制电路的输出即161级联的计数器输出控制(它们由同一个CP脉冲控制)。

一、设计要求设计一个8路移存型彩灯控制器,基本要求:1. 8路彩灯能演示三种花型(花型自拟);2. 彩灯用发光二极管LED模拟;二、原理框图(1)总体方案的设计总体电路分为四块。

第一块实现花型的演示;第二块实现花型的控制;第三块实现节拍控制;第四块实现时钟信号的产生。

并在部分电路的设计上与方案一采用了完全不同的方法,如花型的控制。

主体框图如下:花型控制电路:由1614位二进制同步计数器完成;花型演示电路:由195 双向移位寄存器完成(可左移右移完成花型变化);节拍控制电路:节拍变化由151八选一数据选择器完成,节拍的快慢变化可有74双上升沿D触发器完成,它可实现二分频。

八路彩灯实验报告

八路彩灯实验报告

八路彩灯控制系统实验报告姓名:学院:电子工程学院学号:同作人:一实验目的1.熟悉数字电路中时序逻辑电路与组合逻辑电路的设计。

2.熟悉并掌握用移位寄存器设计彩灯控制器的方法。

二设计任务与要求1.彩灯明暗变换节拍为0.25S和0.5S,两种节拍交替运行。

2. 彩灯演示花型为三种(花型自拟)。

3. 彩灯用发光二极管模拟。

要求:即能控制8路LED以两种节拍、三种花型连续循环演示。

三参考器件给定器件为四2输入与非门(74LS00)1只,六反相器(74LS04)1只,二进制同步计数器(74LS161)2只,四位双向移位寄存器(74LS194)2只,四2选1数据选择器(74LS157)1只,555定时器1只,电阻、电容若干四实验要求按照设计任务设计电路,然后在仿真软件上进行虚拟实验,正确后,在实验板上搭建实验电路,观察彩灯花型是否正确,如果不正确,排除故障直至正确为止。

最后一步是撰写实验报告、整理文档,对实验进行总结。

五设计说明彩灯控制器原理框图如图5-45所示。

图中,虚线以上为处理器,虚线以下是控制器。

从图5-45可以看出,编码发生器的功能是:根据花型要求按节拍送出8位状态编码信号,以便控制灯的亮灭。

其电路可以选用四位双向移位寄存器来实现。

八路灯用两片移位寄存器级联就可以实现。

缓冲驱动电路的功能是:提供彩灯所需要的工作电压和电流,隔离负载对编码发生器工作的影响。

彩灯控制器对定时器的要求不高,振荡器可采用环形振荡器或555定时器来实现。

控制电路为编码发生器提供所需要的节拍脉冲和控制信号,以同步整个系统工作。

最终效果1. 从左至右顺次渐亮,全亮后逆序渐灭;2. 中间到两边对称地逐渐渐亮,全亮后,再由中间向两边逐一熄灭;3. 八路灯分两半,从左至右顺次渐亮,全亮后全灭。

原理框图VHDL语言library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity balucaideng isport(clk:in std_logic;en:in std_logic;clr:in std_logic;l:out std_logic_vector(7 downto 0)--control signal of the balucaideng );end balucaideng;architecture one of balucaideng issignal count_q:integer range 0 to 86;begincounter:process(clk,clr)beginif(clr='0')thencount_q<=0;elsif(clk'event and clk='1')thenif(en='1')thenif(count_q<28)thencount_q<=count_q+1;elsecount_q<=0;end if;end if;end if;end process counter;table:process(count_q)begincase count_q iswhen 0=>l<="10000000";when 1=>l<="11000000";when 2=>l<="11100000";when 3=>l<="11110000";when 4=>l<="11111000";when 5=>l<="11111100";when 6=>l<="11111110";when 7=>l<="11111111";when 8=>l<="11111110";when 9=>l<="11111100";when 10=>l<="11111000";when 11=>l<="11110000";when 12=>l<="11100000";when 13=>l<="11000000";when 14=>l<="10000000";when 15=>l<="00000000";when 16=>l<="00011000";when 17=>l<="00111100";when 18=>l<="01111110";when 19=>l<="11111111";when 20=>l<="00011000";when 21=>l<="11000011";when 22=>l<="10000001";when 24=>l<="10001000"; when 25=>l<="11001100"; when 26=>l<="11101110"; when 27=>l<="11111111"; when 28=>l<="00000000"; --0.25swhen 29=>l<="10000000"; when 30=>l<="10000000"; when 31=>l<="11000000"; when 32=>l<="11000000"; when 33=>l<="11100000"; when 34=>l<="11100000"; when 35=>l<="11110000"; when 36=>l<="11110000"; when 37=>l<="11111000"; when 38=>l<="11111000"; when 39=>l<="11111100"; when 40=>l<="11111100"; when 41=>l<="11111110"; when 42=>l<="11111110"; when 43=>l<="11111111"; when 44=>l<="11111111"; when 45=>l<="11111110"; when 46=>l<="11111110"; when 47=>l<="11111100"; when 48=>l<="11111100"; when 49=>l<="11111000"; when 50=>l<="11111000"; when 51=>l<="11110000"; when 52=>l<="11110000"; when 53=>l<="11100000"; when 54=>l<="11100000"; when 55=>l<="11000000"; when 56=>l<="11000000"; when 57=>l<="10000000"; when 58=>l<="10000000"; when 59=>l<="00000000"; when 60=>l<="00000000"; when 61=>l<="00011000"; when 62=>l<="00011000"; when 63=>l<="00111100"; when 64=>l<="00111100"; when 65=>l<="01111110";when 67=>l<="11111111";when 68=>l<="11111111";when 69=>l<="00011000";when 70=>l<="00011000";when 71=>l<="11000011";when 72=>l<="11000011";when 73=>l<="10000001";when 74=>l<="10000001";when 75=>l<="00000000";when 76=>l<="00000000";when 77=>l<="10001000";when 78=>l<="10001000";when 79=>l<="11001100";when 80=>l<="11001100";when 81=>l<="11101110";when 82=>l<="11101110";when 83=>l<="11111111";when 84=>l<="11111111";when 85=>l<="00000000";when 86=>l<="00000000";--0.5swhen others =>l<="11111111";end case;end process table;end one;仿真波形花型一花型二花型三。

8路彩灯控制器Word版

8路彩灯控制器Word版

河南工业大学EDA技术实验报告专业 ***** 班级***** 姓名 *** 学号 ************实验地点 6316+ 6515 实验日期 2014-11-25 成绩评定一、实验项目8路彩灯控制器二、实验目的1.利用2分频的时钟脉冲来实现两种节拍的交替,控制花形的循环。

2.学会设计花形,并能使其正确的循环。

3.学会二选一多路选择器的设计。

三、实验原理8路彩灯控制器由分频器、二选一多路选择器、花形控制器3个模块组成,分频器的原理是在编写VHDL语言时,加一个中间变量,时钟上沿(或下沿)每到来一次,此变量反转,这样时钟走两个周期,此变量刚好一个周期,再把此变量赋值给输出即得到二分频模块。

分频器VHDL源程序如下图分频器仿真波形花形控制器。

本8路彩灯控制器的设计需要8路彩灯按照两种节拍、三种花形变化。

两种节拍分别为0.25s和0.5s。

三种花形分别是:(1)8路彩灯从左至右按次序渐亮,全亮后逆次序渐灭。

(2)从中间到两边对称地渐亮,全亮后仍由中间向两边逐次渐灭。

(3)8路彩灯分成两半,从左至右顺次渐亮,然后顺次渐灭,然后全亮,最后再全灭。

每一种花形的变化都用状态标识来表示,当一种花形变化完成后,通过状态标志来进入下一种花形的变化,如此来实现可循环操作。

当所以花形都循环完成后,进行节拍的变换,然后进入另一种频率的变化。

花形控制器的VHDL源程序如右图。

二选一多路选择器。

通过花形控制器中JP的信号变化来控制使用原始时钟A,还是使用分频后的时钟信号B,从而实现花形在不同节拍下的循环变化。

二选一多路选择器的VHDL源程序如左图。

设计好以上三个模块后,就是顶层原理图的连接了,连接图如下,输入端接时钟,输出端接LED灯组。

四、仿真结果及分析对已连接完成的原理图进行仿真,仿真结果如下图:从仿真结果图可以看出,各花形变化的功能都已实现,并且节拍的改变也能体现出来。

仿真时,由于花形很多,所以需要把时钟调的很小,要不到10ns才能显示出节拍二的仿真图形,但如果太小,如选择1ns时就会出现一些错误提示。

8路流水彩灯实验报告报告

8路流水彩灯实验报告报告

重庆交通大学计算机与信息学院数电设计实验报告实验项目名称:8路彩灯能演示三种花型实验项目性质:设计性实验实验所属课程:数字电子技术基础实验室(中心):软件与通信实验中心班级:学生:学号:指导教师:实验完成时间:年月日教师评阅意见:签名:年月日实验成绩:一、设计题目:八路彩灯演示三种花型二、同组成员:许梦婷三、设计思路及方案设计:(一)、设计要求:1、八路彩灯能演示三种花型(花型自拟);2、要求用PROTEL画出原理图和PCB板,并能够用软件实现仿真(如multisim等);3、彩灯用发光二极管LED模拟,最后用万能板焊接成产品(选作:彩灯实现快慢两种节拍的变换)。

(二)、设计思路:提供的元件有74LS160---十进制计数器,74LS194---双向移位寄存器,555定时器,非门和与非门等。

根据所提供的元件,首先设计出自己的彩灯花型(按如下原理图中发光二极管LED的放置顺序为准说明):第一种花型:二极管4-1号依次发光,8-5号依次发光的同时4-1号又依次熄灭,之后4-1号又依次发光,此时1-8号都处于发光状态,最后从中间的1号和5号开始依次向两边熄灭,即1号向4号逐熄,5号向8号逐熄,花型完成;第二种花型:二极管1-4号依次发光,5-8号依次发光的同时1-4号又依次熄灭,之后1-4号又依次发光,此时1-8号都处于发光状态,最后从两边的4号和8号开始依次向中间熄灭,即4号向1号逐熄,8号向5号逐熄,花型完成;第三种花型:二极管1-4号依次发光,5-8号保持熄灭状态不变,1-4号又依次熄灭,花型完成。

脉冲计数00010000至00011001过程中,74LS194(1)中SL=SR=0,S0=1,即工作在左移0状态,二极管5-8号不发光,74LS194(2)中SL=SR=1,S0=0,即工作在右移1状态,使得二极管4-1号依次发光;脉冲计数00100000至00101001过程中,74LS194(1)中SL=SR=1,S0=1,即工作在左移1状态,二极管8-5号依次发光;74LS194(2)中SL=SR=0,S0=0,即工作在右移0状态,使得二极管4-1号依次熄灭;脉冲计数00110000至00111001过程中,74LS194(1)中的SL=SR=1,S0=1,即工作在左移1状态,使得二极管4-1号依次发光;74LS194(2)中SL=SR=1,S0=0,即工作在右移1状态,使得5-8号保持发光不变;脉冲计数01000000至01001001过程中,74LS194(1)中SL=SR=0,S0=0,即工作在右移0状态,使得5-8号依次熄灭;74LS194(2)中SL=SR=0,S0=1,即工作在左移0状态,使得1-4号依次熄灭,完成第一种花型;脉冲计数01010000至01011001过程中,74LS194(1)中SL=SR=0,S0=0,即工作在右移0状态,二极管8-5号不发光,74LS194(2)中SL=SR=1,S0=1,即工作在左移1状态,使得二极管1-4号依次发光;脉冲计数01100000至01101001过程中,74LS194(1)中SL=SR=1,S0=0,即工作在右移1状态,二极管5-8号依次发光;74LS194(2)中SL=SR=0,S0=1,即工作在左移0状态,使得二极管1-4号依次熄灭;脉冲计数01110000至01111001过程中,74LS194(1)中的SL=SR=1,S0=0,即工作在右移1状态,使得二极管8-5号保持发光;74LS194(2)中SL=SR=1,S0=1,即工作在左移1状态,使得1-4号依次发光;脉冲计数10000000至10001001过程中,74LS194(1)中SL=SR=0,S0=1,即工作在左移0状态,使得8-5号依次熄灭;74LS194(2)中SL=SR=0,S0=1,即工作在左移0状态,使得4-1号依次熄灭,完成第二种花型;脉冲计数10010000至10011001过程中,74LS194(1)中SL=SR=0,S0=1,即工作在左移0状态,使得5-8保持熄灭状态;74LS194(2)中SL=SR=1,S0=0,即工作在右移1状态,使得4-1依次发光;脉冲计数00000000至00001001过程中,74LS194(1)中SL=SR=0,S0=1,即工作在左移0状态,使得5-8保持熄灭状态;74LS194(2)中SL=SR=0,S0=0,即工作在右移0状态,使得4-1依次熄灭,完成第三种花型。

8路彩灯控制器实验报告

8路彩灯控制器实验报告

《8路彩灯控制电路设计》课程设计报告专业:班级:姓名:学号:同组成员:指导教师:赵玲2015年1 月7 日目录一、课程设计目的 (3)二、课程设计要求 (3)(一)、彩灯控制器设计要求 (3)(二)、课程设计总体要求 (3)三、课程设计内容 (3)(一)、设计原理分析 (3)(二)、器件选择 (5)(三)、具体电路连线及设计思路 (6)1、时钟控制电路 (6)2、花色控制电路 (7)3、花色演示电路 (8)4、总体电路图 (10)四、实际焊接电路板思路及过程 (11)(一)、设计思路及电路图 (11)(二)、设计及焊接过程 (11)(三)、电路板展示 (12)五、课程设计总结与体会 (13)一、课程设计目的1.巩固数字电路技术基础课程所学的理论知识,将学习到的理论知识落实到实际,所谓学以致用。

并且将模拟电路技术基础和电路分析基础等课程的所学知识加以强化。

2.熟悉几种常用集成数字芯片74LS161、74LS194等的功能和应用,并掌握其工作原理,并将这几种芯片的应用结合起来。

从而学会使用常用集成数字芯片进行电路设计。

3.学会使用protues软件进行模拟电路仿真,并且学会将仿真电路实现。

4.了解数字系统设计的基本思想和方法,学会科学分析和解决问题,学会使用基本元器件其进行电路设计。

5.培养自己的动手能力,团队协作能力。

二、课程设计要求(一)、彩灯控制器设计要求设计并制作8路彩灯控制电路,用以控制8个LED按照不同的花色闪烁,要求如下:1.接通电源,电路开始工作,LED灯闪烁;2.LED灯按照事先设计的方式工作,要求闪烁的模式不能少于三种模式;3.(选做内容)闪烁时实现快慢两种节拍的变换。

(二)、课程设计总体要求(1)根据设计任务,每人独立完成一份设计电路图,并要求仿真实现;(2)根据设计的电路图,两人一组,利用万能板完成电路的焊接,并调试成功;(3)每人独立完成一份设计报告。

三、课程设计内容(一)、设计原理分析1.基本原理如下:总体电路共分三大块。

八路彩灯控制器实习报告

八路彩灯控制器实习报告

实习报告:八路彩灯控制器设计与实现一、实习背景及目的随着科技的不断发展,电子产品在日常生活中扮演着越来越重要的角色。

本次实习旨在让同学们更好地掌握数字电子技术知识,提高动手实践能力。

本次实习任务为设计并实现一个八路彩灯控制器,通过控制发光二极管的亮灭,实现多种流动变化的灯光效果。

二、实习内容与过程1. 了解彩灯控制器的工作原理彩灯控制器主要由555定时器、计数器、双向移位寄存器、显示译码管、数码显示管、发光二极管及一些门电路等组成。

通过555定时器产生多谐振荡信号,计数器累计输入脉冲个数,双向移位寄存器存储计数器输出的十进制数,显示译码管将十进制数转换为对应的灯光显示。

2. 设计电路图根据彩灯控制器的工作原理,设计电路图包括以下部分:(1)振荡电路:采用555定时器、电容、电阻等组成多谐振荡电路。

(2)计数器电路:采用十进制计数分频器CT74LS194,用于累计和寄存输入脉冲个数。

(3)显示电路:由发光二极管组成,当CT74LS194的输出端依次输出高电平时,驱动发光二极管依次点亮。

(4)控制电路:采用门电路控制计数器和双向移位寄存器的输入端,实现不同灯光效果的控制。

3. 制作PCB板根据电路图,利用Protel软件设计PCB板,并进行印刷电路板制作。

在制作过程中,注意走线合理,避免信号干扰。

4. 电路调试与验证将制作好的PCB板焊接完毕后,进行电路调试。

通过改变控制电路的输入信号,观察发光二极管的亮灭情况,验证电路是否满足设计要求。

三、实习心得与收获通过本次实习,我对数字电子技术有了更深入的了解,掌握了八路彩灯控制器的设计与实现方法。

在实习过程中,我学会了如何阅读电路图,分析电路原理,设计电路图,制作PCB板,并进行电路调试。

同时,本次实习也培养了我的团队协作能力和动手实践能力。

总之,本次实习使我受益匪浅,对我今后的学习和职业发展具有重要意义。

在今后的学习和工作中,我将继续努力,不断提高自己的数字电子技术水平,为我国的电子科技事业做出贡献。

八路循环彩灯设计报告

八路循环彩灯设计报告

课程设计说明书(论文)题 目: 循环彩灯电路设计课程名称: 数字电子技术学 院: 电子信息与电气工程学院学生姓名: 李振振学 号: 201202010115专业班级: 自动化2012级2班指导教师: 翟亚芳2014 年 6 月 6日课程设计任务书循环彩灯电路设计摘要:设计了一个循环彩灯电路,该电路可以实现8个彩灯从左到右依次点亮,然后依次熄灭,且点亮和熄灭的时间间隔均为1秒的功能。

该电路主要由555定时器、74LS164移位寄存器、74LS00与非门、LED等组成,555定时器提供周期为1s的脉冲信号,74LS164和74LS00共同提供顺序脉冲,从而达到设计要求。

经Multisim仿真测试,该电路可以实现功能要求。

利用Altium Designer软件对电路进行了原理图设计和PCB设计,并对电路进行了安装和调试,调试结果正常。

关键词:循环彩灯;555定时器;74LS164移位寄存器;74LS00与非门;电路仿真;PCB设计目录1.设计背景 (1)1.1数字电路的介绍 (1)1.2时钟电路的作用及基本结构 (1)1.3Multism和Aultism软件的功能及使用 (1)2.设计方案 (2)2.1 课题任务 (2)2.2 任务分析 (2)3.实施方案 (2)3.1原理图设计 (2)3.2电路仿真 (5)3.3 PCB制作 (7)3.4安装与调试 (7)4.结果与结论 (8)5.收获与致谢 (8)6.参考文献 (9)7.附件 (9)7.1电路原理图 (9)7.2仿真图 (11)7.3PCB布线图 (11)7.4实物图 (12)7.5元器件清单 (13)1.设计背景1.1数字电路的介绍数字电子技术是信息、通信、计算机、自动控制等领域工程技术人员必须掌握的基本理论和技能。

数字电路系统的主要内容:数值、逻辑门电路、数模/模数转换电路、半导体存储器等。

数字电路系统一般包括输入电路、控制电路、输出电路、时钟电路和电源等。

EDA(VHDL)实验一 彩灯实验

EDA(VHDL)实验一 彩灯实验

实验一彩灯实验
一、实验目的
1 通过实验初步了解EDA的基本概念。

2 能理解VHDL语言实现硬件设计的思路。

3 能熟练掌握EDA开发软件的使用。

二、实验原理
在本实验中,8个彩灯共阴接地,阳极分别为FPGA的8个I/O相连,I/O输出变化的电平,来控制彩灯的点亮。

三、实验内容与步骤
1 打开Quartus II软件,依次点击菜单栏中的“File-Open Project”,打开EDA/LIGHT文件夹,在对话框中选择项目LIGHT,再点击打开即可;
2 双击项目管理器中的顶层项目LIGHTALL,顶层文件打开;
3 分别双击原理图表中PIN1HZ、light模块,打开即可看到源文件:
(1)light模块源程序
light模块方针波形如图所示:
程序分析:
(2)PIN1HZ模块源程序
PIN1HZ模块方针波形如图所示:
程序分析:
4 重新设置器件Cyclone EP1C6Q240C8 和配置芯片EPCS1;
5 根据配套的硬件资源分配表进行管脚分配,分配后的结果如图所示:
6 然后再编译一次;
7 下载程序到目标芯片。

四、实验现象
八个彩灯采用不同的模式被点亮。

五、思考题
试着改变程序,使得LED灯的切换速度发生变化。

只要改变PIN1HZ模块源程序的分频比,即可使得LED灯的切换速度发生变化。

例如,把50MHz分频为2Hz的程序如下:。

eda八路彩灯控制器课程设计

eda八路彩灯控制器课程设计

课程名称:EDA八路彩灯控制器设计课程目的:1. 了解EDA软件的基本操作和应用;2. 掌握数字电路设计的基本理论和方法;3. 熟悉FPGA设计流程;4. 学习彩灯控制器的设计原理和实现方法;5. 培养学生的团队合作能力和实际动手能力。

课程大纲:1. EDA软件的基本操作和应用1.1 EDA概念及发展历史1.2 常见的EDA软件及其特点1.3 EDA软件的安装和基本操作2. 数字电路设计基础2.1 逻辑门及其运算2.2 组合逻辑电路设计2.3 时序逻辑电路设计2.4 FPGA概念及应用3. 彩灯控制器设计原理与方法3.1 LED灯控制器的基本原理3.2 PWM调光原理及实现3.3 彩灯控制器的电路设计与原理图绘制3.4 彩灯控制器的FPGA设计与仿真4. 课程实践4.1 彩灯控制器实验板的制作4.2 EDA软件仿真实验4.3 彩灯控制器的硬件调试与验证4.4 彩灯控制器的功能实现与效果展示课程评价:本课程通过结合理论学习和实践操作相结合的教学方式,让学生全面掌握EDA软件的使用方法,深入理解数字电路的设计原理,以及彩灯控制器的具体实现方法。

通过实践环节,培养学生的动手能力和团队合作精神,使学生在课程中获得知识的能够运用所学知识解决实际问题。

通过该课程的学习,学生将掌握FPGA设计流程,了解数字电路设计的基础知识,并具备彩灯控制器设计和制作的能力。

结语:EDA八路彩灯控制器设计课程旨在培养学生的实际操作技能,通过设计和制作彩灯控制器,让学生在实践中巩固所学的EDA软件操作和数字电路设计知识,同时培养学生的团队合作和解决问题的能力。

希望学生能够在课程中认真学习,勇于实践,在实验中不断探索和创新,不断提高自己的实际动手能力和工程实践能力。

在接下来的1500字内容中,我们将进一步细化课程设计的细节,包括每个主题下的具体教学内容、示例和案例分析等部分。

3. 彩灯控制器设计原理与方法3.1 LED灯控制器的基本原理LED(Light Emitting Diode)是一种半导体器件,是一种能将电能转化为光能的二极管。

EDA实验报告8路彩灯

EDA实验报告8路彩灯

河南工业大学EDA技术实验报告专业电科班级1202 姓名高兆华学号201216030211实验地点6316+ 6515 实验日期2014-11-18成绩评定一、实验项目8路彩灯控制器二、实验目的1、熟熟练掌握VHDL的用法2、了解8路彩灯的工作原理,电路结构三、实验原理实验原理图:VHDL程序:1、8路彩灯的三种花形控制模块CDLIBRARY IEEE;USE IEEE.STD_LOGIC_ARITH.ALL;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY cd ISPORT(clk:IN STD_LOGIC;jp:OUT STD_LOGIC;qq:OUT STD_LOGIC_VECTOR(7 DOWNTO 0));END cd;ARCHITECTURE behav OF cd ISCONSTANT w:INTEGER:=7;SIGNAL q:STD_LOGIC_VECTOR(7 DOWNTO 0); BEGINPROCESS(clk)VARIABLE flag:BIT_VECTOR(2 DOWNTO 0):="000"; VARIABLE jp1: STD_LOGIC:='0';BEGINIF clk'EVENT AND clk='1'THENIF flag="000"THENq<="11"&q(w DOWNTO 2);IF q(2)='1'THENflag:="001";END IF;ELSIF flag="001"THENq<=q(w-2 DOWNTO 0)&"00";IF q(5)='0'THENflag:="010";END IF;ELSIF flag="010"THENq(w DOWNTO 4)<=q(w-2 DOWNTO 4)&"11";q(w-4 DOWNTO 0)<="11"&q(w-4 DOWNTO 2);IF q(2)='1'THENflag:="011";END IF;ELSIF flag="011"THENq(w DOWNTO 4)<="00"&q(w DOWNTO 6);q(w-4 DOWNTO 0)<=q(w-6 DOWNTO 0)&"00";IF q(1)='0'THENflag:="100";END IF;ELSIF flag="100"THENq(w DOWNTO 4)<="11"&q(w DOWNTO 6);q(w-4 DOWNTO 0)<="11"&Q(w-4 DOWNTO 2);IF q(2)='1'THENflag:="101";END IF;ELSIF flag="101"THENq<="00000000";jp1:=NOT jp1;flag:="000";END IF;END IF;qq<=q;jp<=jp1;END PROCESS;END behav;2、二选一多路选择器模块MUX21 library ieee;use ieee.std_logic_1164.all;entity mux21 isport(a,b,s:in std_logic;y:out std_logic);end mux21;architecture ar of mux21 isbeginprocess(a,b,s)beginif s='0'theny<=a;elsey<=b;end if;end process;end ar;3、二分频模块FEN2library ieee;use ieee.std_logic_1164.all;entity fen2 isport(clk:in std_logic;clkk:out std_logic);end fen2;architecture behav of fen2 isbeginprocess(clk)variable clkk1:std_logic:='0';beginif clk'event and clk='1'thenclkk1:=not clkk1;end if;clkk<=clkk1;end process;end behav;四、仿真结果及分析第一个脉冲到来时,q6、q7为高电平,所以连个灯同时点亮,第二个脉冲到来时q5、q4也变为高电平,两个灯也被点亮,一次类推,直到q0、q1变为高电平,第一种花型完成。

八路彩灯-EDA

八路彩灯-EDA

八路彩灯-EDA重庆交通大学信息科学与工程学院综合性实验报告姓名: xx 学号631206020xxx班级:电子信息工程专业xxxx级x班实验项目名称:循环8路彩灯控制电路实验项目性质:综合性试验实验所属课程:实验室(中心):信息与通信实验室指导教师: xxx实验完成时间: xxxx 年 x 月 xx 日一、实验目的学习8路循环彩灯的设计,掌握基本的VHDL程序设计。

二、实验内容及要求设计一个8路循环彩灯控制电路,要求其能按照一定顺序和时间间隔显示两种以上的花色,所显示的花色根据个人自定。

三、实验原理本次设计分为两个部分:八位加法计数器,八路彩灯显示。

利用八个D触发器构成八位加法计数器,设置脉冲输入端:cp,预置端:r,使能端:en。

并要求计数器计数到00011010时再次返回最初状态重新计数,循环此计数功能,为之后的八路彩灯重复显示规定的三种花色做准备。

八路彩灯显示的设计利用case语句,输入是一个八位二进制数,输出是八位彩灯的状态。

输入的八位二进制数由八位加法计数器计数结果得到。

最后使用元件例化语句将两个部分合二为一,实现八路彩灯的控制。

五、实验过程及原始记录(含电路图)1、为本次设计建立一个文件夹并取名final。

2、打开Quartus II软件,新建一个工程,再选择菜单“file”--“new”,在弹出的“new”对话框中选择“Device Design File”的文本编辑输入项“VHDL file”,再点击“Ok”后打开文本编辑窗,在此文本编辑窗中编写需要的程序。

3、在编辑窗口编写程序,根据题目要求和设计思路编写并进行编译、排错,直到能编译通过。

4、先写八位加法计数器的程序,设置脉冲输入端cp,预置端r,使能端en,输出端outy,当en=1和前两个脉冲中r=1时计数,当计数到00011010时,重新从0开始计数,如此循环。

5、再写八路彩灯显示的程序,使用case语句,计数器从0开始计数直到00011010的过程中,八个灯变换三种不同的花色。

EDA作业2-LED彩灯

EDA作业2-LED彩灯

EDA作业二--LED彩灯一、实验任务让8个LED实现彩灯的功能,并进行波形仿真。

通过这个实验,进一步掌握采用计数与判断的方式来实现分频的VHDL的编程方法以及移位运算符的使用。

二、实验环境软件实验环境为Quartus II 8.1开发软件。

三、实验原理彩灯,就是让LED以一定的规律显示不同的亮灭的组合。

本彩灯的设计采用了4种的亮灭方式。

每来一个时钟脉冲CLK,计数器就加1。

每当判断计数器中的数值达到25000000时,就会按一定方式点亮8个led。

FPGA输出数据就应该首先是10000000,隔1秒钟变成11000000……一直变化到111111,这样,依次点亮所有的灯,就形成了流水灯。

而当8个灯都点亮时,要一个操作使得所有的灯恢复为初始状态,即:灯都不亮。

然后,再一次流水即可。

如果是右移位,就出现向右流水的现象;反之,向左流水。

同流水灯原理:00方式为流水灯依次亮灭方式,01方式为两边向中间点亮方式。

10方式为连续两个灯同时按照流水灯亮灭,11方式为中间向两边点亮方式。

本实验程序定义了彩灯的端口部分,结构部分(控制部分),进程部分。

(分频部分本实验未使用到,所以在注释部分给出)四、实验步骤1、建立新工程项目:打开Quartus II软件,进入集成开发环境,点击File→New project wizard 建立一个工程项目caideng。

2、建立文本编辑文件:点击File→New在该项目下新建VHDL源程序文件caideng.v,输入试验程序中的源程序代码保存后选择工具栏中的按钮启动编译,若在编译中发现错误,则找出并更正错误,直到编译成功为止。

3、编译工程项目:在Quartus II主页面下,选择Processing—Start Compilation或点击工具栏上的按钮启动编译,直到出现“Full Compilation Report”对话框,点击OK 即可。

4、波形仿真:建立波形文件caideng.vwf 选择相应节点,进行功能仿真,仿真图如下。

eda彩灯实验报告

eda彩灯实验报告

eda彩灯实验报告EDA彩灯实验报告引言EDA彩灯实验是一项基于EDA(Exploratory Data Analysis,探索性数据分析)的实践活动。

通过对彩灯数据的收集、整理和分析,我们旨在探索数据的特征和规律,并通过可视化展示的方式,将数据的潜在信息传达给观察者。

本报告将介绍实验的目的、方法、结果和讨论。

实验目的本次实验的目的是通过EDA的方法,对彩灯数据进行分析,并通过可视化展示的方式,揭示数据的潜在规律和特征。

我们希望通过这个实验,加深对EDA方法的理解,并掌握其在实际问题中的应用。

实验方法1. 数据收集我们从多个渠道收集了一定数量的彩灯数据,包括彩灯的颜色、亮度、闪烁频率等信息。

这些数据以文本文件的形式存储,并按照时间顺序排列。

2. 数据整理为了方便后续分析,我们首先对数据进行整理。

具体步骤包括数据清洗、去除异常值、处理缺失值等。

通过这些步骤,我们得到了一份干净、可用的数据集。

3. 数据分析在数据分析阶段,我们运用了各种统计和可视化方法,以揭示数据的特征和规律。

具体包括以下几个方面:- 描述性统计:通过计算数据的均值、方差、中位数等指标,了解数据的分布和集中趋势。

- 相关性分析:通过计算不同变量之间的相关系数,查找变量之间的关联关系。

- 可视化展示:通过绘制折线图、柱状图、散点图等,将数据的特征以图形化的方式展示出来。

实验结果经过数据分析,我们得到了以下几个主要结果:1. 彩灯的颜色分布呈现多样性,包括红、绿、蓝、黄等多种颜色。

其中,蓝色和红色的比例较高,而绿色和黄色的比例较低。

2. 彩灯的亮度分布较为均匀,大部分彩灯的亮度值集中在一个中等水平上。

3. 彩灯的闪烁频率与亮度呈现一定的正相关关系,即亮度较高的彩灯更容易出现高频闪烁的情况。

讨论通过本次实验,我们对EDA方法有了更深入的理解,并掌握了其在彩灯数据分析中的应用。

通过对彩灯数据的收集、整理和分析,我们揭示了彩灯数据的特征和规律,为进一步研究彩灯的设计和应用提供了参考。

eda彩灯实验报告

eda彩灯实验报告

eda彩灯实验报告
EDA彩灯实验报告
引言
EDA彩灯是一种新型的智能灯具,它具有丰富的颜色选择和灯光效果,可以通
过手机APP远程控制,是现代家居装饰的理想选择。

本实验旨在测试EDA彩灯的亮度、颜色稳定性和控制性能,以评估其在实际应用中的表现。

实验方法
1. 测量亮度:在不同亮度下,使用光度计测量EDA彩灯的光照强度,以评估其
亮度表现。

2. 测量颜色稳定性:在不同时间段内,记录EDA彩灯显示的颜色,并使用色度
计测量其颜色值,以评估其颜色稳定性。

3. 测试控制性能:通过手机APP远程控制EDA彩灯,测试其响应速度和稳定性。

实验结果
1. 亮度测试结果显示,EDA彩灯在不同亮度下的光照强度表现稳定,且亮度调
节响应迅速。

2. 颜色稳定性测试结果显示,EDA彩灯在长时间使用过程中,颜色表现稳定,
色度值变化不大。

3. 控制性能测试结果显示,通过手机APP远程控制EDA彩灯响应速度快,稳定性良好。

结论
通过本次实验,我们对EDA彩灯的亮度、颜色稳定性和控制性能进行了评估,
结果显示其表现良好,适用于家居装饰和氛围照明。

然而,在实际使用中,还
需要进一步测试其耐用性和节能性能,以全面评估其性能表现。

总结
EDA彩灯作为一种智能家居装饰灯具,具有丰富的颜色选择和灯光效果,通过本次实验评估其亮度、颜色稳定性和控制性能,结果显示其表现良好。

我们期待EDA彩灯在未来的应用中,能够为用户带来更多的便利和美好的家居体验。

八路循环彩灯控制电路报告

八路循环彩灯控制电路报告

电子技能训练与EDA技术应用项目名称:八路循环彩灯控制电路指导老师:吴小花姓名:王晓鸿学号:080212115班级:08电子1班目录一、设计要求--------------------------------------------------------------------------------二、设计方案--------------------------------------------------------------------------------三、单元电路设计参考---------------------------------------------------------------------四、电路元件参数计算---------------------------------------------------------------------五、计数器的选用----------------------------------------------------------------------------六、译码器的选用----------------------------------------------------------------------------七、彩灯的选用-------------------------------------------------------------------------------八.设计原理电路----------------------------------------------------------------------------九.改进设计----------------------------------------------------------------------------------一、 设计要求设计一组8路循环彩灯控制电路,要求每路灯循环亮2秒。

相关主题
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

河南工业大学EDA技术实验报告专业电科班级1202 姓名高兆华学号201216030211实验地点6316+ 6515 实验日期2014-11-18成绩评定
一、实验项目
8路彩灯控制器
二、实验目的
1、熟熟练掌握VHDL的用法
2、了解8路彩灯的工作原理,电路结构
三、实验原理
实验原理图:
VHDL程序:
1、8路彩灯的三种花形控制模块CD
LIBRARY IEEE;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
ENTITY cd IS
PORT(clk:IN STD_LOGIC;
jp:OUT STD_LOGIC;
qq:OUT STD_LOGIC_VECTOR(7 DOWNTO 0));
END cd;
ARCHITECTURE behav OF cd IS
CONSTANT w:INTEGER:=7;
SIGNAL q:STD_LOGIC_VECTOR(7 DOWNTO 0); BEGIN
PROCESS(clk)
VARIABLE flag:BIT_VECTOR(2 DOWNTO 0):="000"; VARIABLE jp1: STD_LOGIC:='0';
BEGIN
IF clk'EVENT AND clk='1'THEN
IF flag="000"THEN
q<="11"&q(w DOWNTO 2);
IF q(2)='1'THEN
flag:="001";
END IF;
ELSIF flag="001"THEN
q<=q(w-2 DOWNTO 0)&"00";
IF q(5)='0'THEN
flag:="010";
END IF;
ELSIF flag="010"THEN
q(w DOWNTO 4)<=q(w-2 DOWNTO 4)&"11";
q(w-4 DOWNTO 0)<="11"&q(w-4 DOWNTO 2);
IF q(2)='1'THEN
flag:="011";
END IF;
ELSIF flag="011"THEN
q(w DOWNTO 4)<="00"&q(w DOWNTO 6);
q(w-4 DOWNTO 0)<=q(w-6 DOWNTO 0)&"00";
IF q(1)='0'THEN
flag:="100";
END IF;
ELSIF flag="100"THEN
q(w DOWNTO 4)<="11"&q(w DOWNTO 6);
q(w-4 DOWNTO 0)<="11"&Q(w-4 DOWNTO 2);
IF q(2)='1'THEN
flag:="101";
END IF;
ELSIF flag="101"THEN
q<="00000000";
jp1:=NOT jp1;
flag:="000";
END IF;
END IF;
qq<=q;
jp<=jp1;
END PROCESS;
END behav;
2、二选一多路选择器模块MUX21 library ieee;
use ieee.std_logic_1164.all;
entity mux21 is
port(a,b,s:in std_logic;
y:out std_logic);
end mux21;
architecture ar of mux21 is
begin
process(a,b,s)
begin
if s='0'then
y<=a;
else
y<=b;
end if;
end process;
end ar;
3、二分频模块FEN2
library ieee;
use ieee.std_logic_1164.all;
entity fen2 is
port(clk:in std_logic;
clkk:out std_logic);
end fen2;
architecture behav of fen2 is
begin
process(clk)
variable clkk1:std_logic:='0';
begin
if clk'event and clk='1'then
clkk1:=not clkk1;
end if;
clkk<=clkk1;
end process;
end behav;
四、仿真结果及分析
第一个脉冲到来时,q6、q7为高电平,所以连个灯同时点亮,第二个脉冲到来时q5、q4也变为高电平,两个灯也被点亮,一次类推,直到q0、q1变为高电平,第一种花型完成。

之后的花型通过波形的仿真也是正确的。

五、硬件验证过程及结果分析
通过下载编译,LED灯按照从左到右依次两个逐渐变亮,接着又从右向左两个依次熄灭。

进入第二种花型,LED灯从中间向两边两个依次变亮,接着又从中间向两边两个依次熄灭。

进入第三种花型,将8个LED分成两部分,分别从左向右两个依次点亮,直至进入第一种花型,开始循环变换。

通过硬件验证可以证明实验结果符合实验设想,程序编写正确,成功的完成了实验目的。

六、实验总结
通过8路彩灯的实验设计,让我加深了对EDA实验设计的了解,巩固了程序设计能力,电路设计能力,在硬件调试的过程中更是培养了动手操作的能力,同时还可以遇到问题,找到原因,并正确的修改。

总体来说收获非常的大。

相关文档
最新文档