上海交大模电讲稿05
上海交大电路理论教程5-4
零状态响应) §5.2 一阶电路(零状态响应 零状态响应
∫
0+
0
0+ 1 0+ du C dt + ∫ udt = ∫ δ (t )dt 0 R 0 dt
Cu (0+ ) Cu (0 ) + ∫
0+
0
1 udt =1 R
可得
u (0+ ) =
1 C
当单位冲激电流经过电容时,在电容上产生1/C伏的跳变电 当单位冲激电流经过电容时,在电容上产生 伏的跳变电 或则说,一个并联于电容C的单位冲激电流源 压,或则说,一个并联于电容 的单位冲激电流源δ(t),将在 将在 1 电容上形成初始电压 u (0 ) =
iS
I
O
t
电路方程
iS
R
C
uC
duC 1 + uC = iS = I C R dt uC (0) = 0
电路方程是一个非齐次线性微分方程. 电路方程是一个非齐次线性微分方程.它的解为齐次解与特解之和
uC = u h + u p
由初始条件
uh = ke
t RC
up = RI
得
uC = ke
t RC
零状态响应) §5.2 一阶电路(零状态响应 零状态响应
线性非时变一阶电路的冲激响应
当电路的输入i 当电路的输入 S = δ(t)时的零 时的零 状态响应, 状态响应,称单位冲激响应 电路方程
iS = δ (t )
1
O
iS
t
uC
C
du 1 + u = δ (t ) dt R
R
C
由于t=0时接入单位冲激电流,为无限大电流, 由于 时接入单位冲激电流,为无限大电流,电容电压将 时接入单位冲激电流 发生有限跳变.电容电压跳变是在0 瞬间内完成的, 发生有限跳变.电容电压跳变是在 -0+瞬间内完成的,所 以可通过对电路方程两边从0 积分求得电压的跳变值. 以可通过对电路方程两边从 -0+积分求得电压的跳变值.
模电第五版完整课件
定了现代电力工业的基础。 。
9
麦克斯韦1831年6月出生于英国爱丁堡, 14岁在中学时期 就发表了第一篇科学论文《论卵形曲线的机械画法》,16 岁进入爱丁堡大学学习物理,三年后,他转学到剑桥大学 三一学院。在剑桥学习时,打下了扎实的数学基础,为他 尔后把数学分析和实验研究紧密结合创造了条件。 麦克斯韦在总结前人工作的基础上,引入位移电流的概 念,建立了一组微分方程。确定了电荷、电流(运动的电 荷)、电场、磁场之间的普遍联系,麦克斯韦方程组表明, 空间某处只要有变化的磁场就能激发出涡旋电场,而变化 的电场又能激发涡旋磁场。交变的电场和磁场互相激发就 形成了连续不断的电磁振荡即电磁波。麦克斯韦方程还说 明,电磁波的速度只随介质的电和磁的性质而变化,由此 式可证明电微波在真空中传播的速度,等于光在真空中传 播的速度。这不是偶然的巧合,而是由于光和电磁波在本 质上是相同的。光是一定波长的电磁波,这就是麦克斯韦 创立的光的电磁学说。 麦克斯韦依据库仑、高斯、欧姆、安培、毕奥、萨伐尔、 法拉第等前人的一系列发现和实验成果,建立了第一个完 整的电磁理论体系,不仅科学地预言了电磁波的存在,而 且揭示了光、电、磁现象的本质的统一性,完成了物理学 的又一次大综合。这一理论自然科学的成果,奠定了现代 的电力工业、电子工业和无线电工业的基础。
11
1824年6月26日开尔文生于爱尔兰的贝尔法斯特。原 名W.汤姆孙。 10岁时就进格拉斯哥大学预科学习。 1845年毕业于剑桥大学,1846年受聘为格拉斯哥大学物 理学教授1890~1895年任伦敦皇家学会会长。1877年被 选为法国科学院院士。 开尔文研究范围广泛,在热学、电磁学、流体力学、 光学、地球物理、数学、工程应用等方面都做出了贡献。 他一生发表论文多达600余篇,取得70种发明专利, 在电学方面,汤姆孙以极高明的技巧研究过各种不同 类型的问题,从静电学到瞬变电流。他揭示了傅里叶热 传导理论和势理论之间的相似性,讨论了法拉第关于电 作用传播的概念,分析了振荡电路及由此产生的交变电 流。他的文章影响了麦克斯韦,后者向他请教,希望能 和他研究同一课题,并给了他极高的赞誉。1855年他研 究了电缆中信号传播情况,解决了长距离海底电缆通讯 的一系列理论和技术问题。由汤姆孙和亥姆霍兹起主导 作用的在巴黎召开的国际代表大会,和1893年在芝加哥 召开的另一次代表大会,正式采用伏特、安培、法拉和 欧姆等作为电学单位,这一新的单位制,从此它们被普 遍使用。
模拟电子技术
模 拟 电 子 技 术 2. 1 共射基本放大电路的组成及工作原理
1.组成
偏置电路VCC 、Rb 提供电源,并使三极管 工作在线性区。
耦合电容C1 、 输入耦合电 容C1保证信号 加到发射结, 不影响发射结 偏置。输出耦 合电容C2保证 信号输送到负 载,不影响集 电结偏置。
三极管 起放大作用
负载电阻RC、RL 将变化的集电极电流 转换为电压输出。
(1) 三极管简化微变等效电路
c Ic b
+ VT +
模
拟
电
子
技
术
b
c
Ib
Ic rbe
ube -
Ib e
uce -
ube
uce
βIb e
从图中可以看出,三极管的输入回路可以等效为输入电阻 rbe。在小信号工作条件下,rbe是一个常数,低频小功率管的rbe 可用下式估算: 26(mV) rbe = 300 + (1+β) ———— (2-10) IE(mA)
模
拟
电
子
技
术
解: 根据如图所示的直流通路, 可以得到 IBQ ≈ VCC / RB= 12/300 = 0.04(mA) ICQ ≈ βIBQ = 37.5×0.04 = 1.5(mA) UCEQ = VCC -ICQRC = 12-1.5×4 = 6(V)
模
拟
电
子
技
术
2. 动态分析
•
• •
•
放大电路有信号输入时的工作状态称为动 态。 动态分析主要是确定放大电路的电压放大 倍数Au、输入电阻Ri和输出电阻Ro等。 放大电路有信号输入时,三极管各极的电 流和电压瞬时值既有直流分量,又有交流 分量。 直流分量一般就是静态值,而所谓放大, 只考虑其中的交流分量。
上海交大模电讲稿02
the nth resistor (Rn) will have a voltage drop of
Determined by the way of connection among the elements. (Such as KCL KVL)
2. Element constraints
Determined by the elements. (VAR)
Using two sorts of constraints, we can analysis any lumped circuit (solve out all the voltages and currents).
Basic Theory of Circuits, SJTU
17
Source Transformation(2)
It also applies to dependent sources:
Basic Theory of Circuits, SJTU
18
Example: find out Vo
Basic Theory of Circuits, SJTU
P = P + P
1
2
The equivalent power of any number of resistors connected in series is the sum of the individual powers.
Concept of Equivalent:
上海交大 电院数电实验讲义精要
实验一~三为上课必做内容,实验四可以选做。
实验一四位拨码开关控制显示数字0000~FFFF说明:当拨码开关为0001,四位数码管显示为1111,当拨码开关为0010,四位数码管显示为2222,以此类推,当拨码开关为1111时,四位数码管显示为FFFF。
module seg7ment_sub(input wire [3:0] num,output reg [6:0] a_to_g );always @(*)case(num)0: a_to_g=7'b0000001;1: a_to_g=7'b1001111;2: a_to_g=7'b0010010;3: a_to_g=7'b0000110;4: a_to_g=7'b1001100;5: a_to_g=7'b0100100;6: a_to_g=7'b0100000;7: a_to_g=7'b0001111;8: a_to_g=7'b0000000;9: a_to_g=7'b0000100;'hA: a_to_g=7'b0001000;'hB: a_to_g=7'b1100000;'hC: a_to_g=7'b0110001;'hD: a_to_g=7'b1000010;'hE: a_to_g=7'b0110000;'hF: a_to_g=7'b0111000;default: a_to_g=7'b0000001;endcaseendmodulemodule seg7ment_top(input wire[3:0]sw,output wire[6:0]a_to_g,output wire[3:0]an );assign an=4'b0000;seg7ment_sub A1(.num(sw),.a_to_g (a_to_g));endmodule实验二拨码开关控制数字0~F循环显示说明:c lk做计数时钟输入,BTN0做复位,无进位,四个拨码开关决定对应的数码管循环显示数字0~F(当四个拨码开关为0001时,对应的一个数码管循环显示数字0~F,当四个拨码开关为0011时,对应的二个数码管同时循环显示数字0~F,以此类推,当四个拨码开关为1111时,对应的四个数码管同时循环显示数字0~F)module clk_sw_7seg_sub(input [3:0]NUM,input[3:0]SW,output reg[3:0]an,output reg[6:0]a_to_g);always @(*)an = ~ SW;always @(*)case(NUM)0:a_to_g=7'b0000001;1:a_to_g=7'b1001111;2:a_to_g=7'b0010010;3:a_to_g=7'b0000110;4:a_to_g=7'b1001100;5:a_to_g=7'b0100100;6:a_to_g=7'b0100000;7:a_to_g=7'b0001111;8:a_to_g=7'b0000000;9:a_to_g=7'b0000100;'hA: a_to_g=7'b0001000;'hB: a_to_g=7'b1100000;'hC: a_to_g=7'b0110001;'hD: a_to_g=7'b1000010;'hE: a_to_g=7'b0110000;'hF: a_to_g=7'b0111000;default: a_to_g=7'b0000001;endcaseendmodulemodule clk_sw_7seg_top(input clk,input clr,input[3:0]SW,output[3:0]an,output[6:0]a_to_g);reg[28:0] clk_cnt; //clock countreg [3:0] NUM; //number printed on the segment LEDs always @(posedge clk or posedge clr) //deal the clock and clear events beginif(clr)clk_cnt = 0; //if clear button pressed,clear the clock count elsebeginclk_cnt = clk_cnt + 1; //if clock flip, count clockif(clk_cnt[28:25]>15) //if count was full, back to zeroclk_cnt = 0;endendalways @(*)NUM = clk_cnt[28:25]; //take the higher four bits as number for printing clk_sw_7seg_sub A1( .NUM(NUM),.SW(SW),.an(an),.a_to_g(a_to_g)); //call the sub display module endmodule实验三二位数码管循环显示数字00~FF说明:c lk做计数时钟输入,BTN0做复位,数字00~FF在数码管右二位循环显示。
模电第5章课件PPT学习教案
VT1
VT2
R2 uI2
第12页/共53页
动态分析:
(1)信号输入方式
共模输入电压 uIc 差模输入电压 uId
第13页/共53页
第14页/共53页
第15页/共53页
共模电压放大倍数:
Ac
Δ uo Δ uIc
Ac 愈小愈好, 而Ad 愈大愈好 +
uIc ~
+VCC
Rb
Rc
+ uo
Rc Rb
R
+VCC Rb2
ICQ1
ICQ2
1 2
ICQ3
R
U U V I R CQ1
CQ2
CC
CQ1
(对地)
C
IBQ1
IBQ2
ICQ1
1
(对地)
UBQ1 UBQ2 IBQ1R
VT1
图
VT3
Re
R
VT2
Rb1
VEE
恒流源式差分放大电路
第24页/共53页
3. 动态分析 由于恒流三极管相当于一个阻值很大的长尾电阻 ,它的作用也是引入一个共模负反馈,对差模电压放 大倍数没有影响,所以与长尾式交流通路相同。
IB1 +
UBE1
IC2
IB2 U+BE2 VT2
IC2
I C1
I REF
2IB
I REF
2
IC2
图
所以
1
IC2
I R EF 1
2
当满足 >> 2 时,则
IC2
I R EF
VCC
UB E1 R
第5页/共53页
二、比例电流源
上海交大电气工程系精品课程
1
当前波到达末端时,可以因端点的阻抗差异而取不同的电压、 电流值。但线路1侧的u , i 值必须满足(16-9a),以保证前行波在 线路1末端的值不变。
第二节:波的折射和反射
转换成计算节电电压的等效电源形式:
2u i z u i z
1 1 1 1
(16 9b)
1
u
1
端口 ==〉
及产生 u (i
1
)
。
第二节:波的折射和反射
对于图16-3中节点电压的计算,涉及到载波线路的端口等值 电路。 端口的等值电路:
u
1 Z
1
u
1
1
u
1
1
(u
u
)
i
1
由上两式可得:
2 u u i z
1 1
1 1
(16 9 a )
u 2(u i z )
1 1 1 1
第一节:单相均匀无损耗线路上 的行波
2. 线路与外界打交道的只是端点的长度元,中间 只是起到波的传播通道的作用。
3. 要把波的传播方向和电压、电流正负号严加 区别,负波并非就是朝X负方向运动的波,只有 u 的波才被确认为反行波。 Z
i
4. 如果导线上既有前行波,又有反行波,则该 点 u Z 。
A
A
i
==
1
2u
1
1
z
2u z
1
z
A
1
1
1
A
i
2
u
1
==〉
A
z
1
u
模电讲义PPT课件
2. 起振和稳幅
振荡电路总存在微弱的噪声或干扰信号,它们的频
A F 1 谱很起宽振,的利幅用值选条频网件络为把所需频率• 的信•号挑选出来 ,经
过放大电路和正反馈网络,A只• F•要 1
, 输出
就会逐渐变大
稳幅:因 AF > 1 ,起振后,输出信号幅值逐渐 增大,需采取稳幅措施限幅,使输出波形为正弦波 。一般可用放大器件的非线性稳幅或专门的稳幅电 路实现稳幅.
1. 振荡条件
振荡原理如图 9.1.1所示,它由放大电路和反馈
电路组成。( 维持)自激振荡的条件为A•:F• 1
•
•
X f Xa
•• A F 1
幅度平衡条件
a f 2 n 相位平衡条件
•
•• •
Xf AFXa
振荡频率由相位平衡条件确定,电路仅在一个频率处满足相位条件,此频率为
输出信号的频率 fo 。
fs
CC 第21页/共38页
0
1 C C0
实际给出的固有频率既不是串联也不是并联谐振频率,在两者之间
fs fsfp
石英晶体在此窄小范围内呈感性。
2.石英晶体振荡电路
1)串联型石英振荡器 石英晶体接在放大器的反馈网络中起选频作用,可构成正弦波振荡器,串联型石 英振荡器。当电路的振荡频率为 fS 时,石英晶体的电抗最小,呈电阻性,相移为零 ,满足相位平衡条件,产生振荡,振荡频 率为 fS 。 2)并联型石英振荡器
正弦波振荡器按选频网络所用元件类型的不同,分为RC振荡器,LC振 荡器和石英晶体振荡器。
第3页/共38页
9. 2 RC正弦波振荡器
RC振荡器有RC串并联型,RC移相型和RC双T型等电路,它们 的共同特点是反馈网络兼作选频网络,下面介绍常见的RC串、并联型。Biblioteka 1. RC串并联网络的选频特性
模电课件ppt
研究非线性电路的静态和动态特性,如分岔、混沌等现象。
非线性系统分析
利用控制理论和方法研究电路系统的反馈控制和自动调节。
控制系统分析
通过最优化算法和数学规划方法,寻求电路性能的最佳设计方案。
最优化系统分析
模拟电路元件
总结词
电阻是模拟电路中最基本的元件之一,用于限制电流。
详细描述
电阻的阻值大小由其材料、长度和横截面积决定,通常用欧姆(Ω)作为单位。在电路中,电阻用于调节电流和电压,实现各种不同的功能。
总结词
不同类型的电阻具有不同的特性,如碳膜电阻、金属膜电阻、水泥电阻等。
详细描述
碳膜电阻具有较好的稳定性,适用于高精度的测量和控制系统;金属膜电阻具有较低的温度系数和稳定的性能,适用于高频电路;水泥电阻则具有较大的功率容量,适用于大电流电路。
01
02
03
04
总结词:电容是模拟电路中用于存储电荷的元件,具有隔直流通交流的特性。详细描述:电容的容量大小由其电极面积和间距决定,通常用法拉(F)作为单位。在电路中,电容用于滤波、旁路、耦合等作用,能够平滑电流或电压的波动。总结词:不同类型的电容具有不同的特性,如电解电容、陶瓷电容、薄膜电容等。详细描述:电解电容具有较大的容量和较低的价格,适用于低频电路;陶瓷电容具有较高的绝缘性能和稳定的温度系数,适用于高频电路;薄膜电容具有较小的体积和较高的可靠性,适用于小型化和便携式设备。
电压放大倍数是指输出电压与输入电压的比值,用于衡量模拟电路的放大能力。
电压放大倍数是模拟电路的重要性能指标之一,它反映了电路对输入信号的放大能力。在理想情况下,电压放大倍数越大,电路的放大能力越强。然而,在实际应用中,过高的放大倍数可能导致信号失真和稳定性问题。因此,需要根据实际需求选择合适的放大倍数。
模电课件05第一章5
○ b基极
○c集电极
P N
P+
集电结 发射结
○e发射极
○ b基极
○c集电极
N
集电结
P N+
发射结
○e发射极
4、 BJT三种基本组态
共基极(CB) 组态 , 共射极(CE) 组态 , 共集电极(CC) 组态
iE
E
+ UEB
-
B
iC
C
+
iB B
UCB +
- UBE>0
BE
C
+
iC
UCE>0
iE
-
E
iB B
对于PNP管,要求UCB<0,UBE <0。 NPN管:UC > UB > UE PNP管:UC< UB < UE
正偏发射结导通电压的 典型值分别可取 0.7V(硅)和0.3V(锗) 。
C
iB B
+
UBE>0 -
+
iC
UCB>0
iE E
-
NPN管的电路符号
C
iB B
+
UBE<0 -
iC
+
UCB<0
iE
偏置于饱和区的NPN管基极电位最高,对于PNP管,则饱和 时基极电位最低
BJT的截止与饱和状态其实就是晶体管的开关工作状态
C
ECB
+
B
+
UBE>0 -
UEC<0
E
-
NPN管的电路符号
C
ECB
+
B
+
模拟电子技术基础第五康华光讲课文档
半导体特点: 1) 在外界能源的作用下,导电性能显著变 化。光敏元件、热敏元件属于此类。 2) 在纯净半导体内掺入杂质,导电性能显 著增加。二极管、三极管属于此类。
第四页,共61页。
本征半导体
1. 本征半导体——化学成分纯净的半导体。制造半导体器件的半 导体材料的纯度要达到99.9999999%,常称为“九个9”。它在
因浓度差
多子的扩散运动 由杂质离子形成空间电荷区
空间电荷区形成内电场
内电场促使少子漂移
内电场阻止多子扩散
最后,多子的扩散和少子的漂移达到动态平衡。
第二十三页,共61页。
对于P型半导体和N型半导体结合面,离子薄 层形成的空间电荷区称为PN结。
在空间电荷区,由于缺少多子,所以也称耗尽
层。由于耗尽层的存在,PN结的电阻很大。
第十三页,共61页。
N型半导体的结构示意图如图所示:
自由电子 磷原子核
所以,N型半导体中的导电粒子有两种: 自由电子—多数载流子(由两部分组成) 空穴——少数载流子
第十四页,共61页。
杂质半导体
2. P型半导体
因三价杂质原子在与 硅原子形成共价键时, 缺少一个价电子而在共 价键中留下一个空穴。
在P型半导体中空穴是多数载流子,它主要由掺杂形成;自由 电子是少数载流子, 由热激发形成。
。
第十二页,共61页。
杂质半导体
1. N型半导体
因五价杂质原子中只 有四个价电子能与周围四 个半导体原子中的价电子 形成共价键,而多余的一 个价电子因无共价键束缚 而很容易形成自由电子。
在N型半导体中自由电子是多数载流子,它主要由杂质原子提供; 空穴是少数载流子, 由热激发形成。
上海交通大学模拟电路
Here: Ch1 ? \ … ? \ Semiconductor…\1.1 Elementary\… Note:
基础知识 ???
本征半导体载流子的浓度:
电子浓度ni :表示单位体积的自由电子数 空穴浓度pi :表示单位体积的空穴数。
ni pi BT e
B—与材料有关的常数 Eg—禁带宽度 T—绝对温度 k—玻尔曼常数
过程。势垒电容和扩
散电容均是非线性电 容。 Welcome: win_dd@
Chap Sect
Here: Ch1 ? \ … ? \ Semiconductor…\1.2 PN junc…\…
Note:
基础知识 ???
击穿可逆。 掺杂浓度小的 反向击穿 PN结上反向电压达到某一数值,反向电流激增。 二极管容易发生 雪崩击穿 当反向电压增高时,少子获得能量高速运动, 击穿可逆。 在空间电荷区与原子发生碰撞,产生碰撞电离。 掺杂浓度大的 二极管容易发生 形成连锁反应,象雪崩一样。使反向电流激增。 齐纳击穿 当反向电压较大时,强电场直接从共价键中将电 子拉出来,形成大量载流子,使反向电流激增。 不可逆击穿 — 热击穿 PN结的电流或电压较大,使PN 结耗散功率超过极限值,使结温 升高,导致PN结过热而烧毁。 Welcome: win_dd@
Chap Sect
Here: Ch1 ? \ … ? \ Semiconductor…\1.2 PN junc…\…
Note:
基础知识 ???
PN结电流方程
由半导体物理可推出: I 式中
I S (e
v VT
1)
Is 饱和电流; VT = kT/q 等效电压 k 波尔兹曼常数;
T=300K(室温)时 VT= 26mV
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
Ideal op amp
i1
1) i1=0 , i2=0 ; 2) V1=V2
i2
Ideal op amp model
Basic Theory of Circuits, SJTU
5
Applications
Inverting Amplifier
V o -
Second stage
The voltage follower
Basic Theory of Circuits, SJTU
8
Applications
Summing amplifier
Basic Theory of Circuits, SJTU
9
Difference Amplifier
2
a
1 1
3 3
b 4
2ห้องสมุดไป่ตู้
Note: be careful while using nodal analysis, do not set nodal equation at the output terminal.
Basic Theory of Circuits, SJTU 10
Example:
Find out Vo
Chapter 5
Operational Amplifier
Basic Theory of Circuits, SJTU
1
Introduction
An op amp is an active circuit element designed to perform mathematical operation of addition, subtraction, multiplication, division, differentiation, and integration.
R4
R5 V1
R3
V2 + R6 Vo OUT V3 R7 R8
Vi
OPAMP
Basic Theory of Circuits, SJTU
11
P.P.5.10As a voltage follower, va = v1 = 2V where va is the voltage at the right end of the 20 k resistor. As an inverter, vb = Where vb is the voltage at the right end of the 50k resistor. As a summer v0 = = [6 - 15] = 9V
A: gain (open-loop voltage gain)
Basic Theory of Circuits, SJTU 3
i1
i2 Actual op amp: Ri(very large); Ro(very small); A(very large) Idealization: Ri=> ; Ro=>0 ; A=> As Vo is limited, we can get from Vo=A(V2-V1) that: V2=V1 As Ri=> , we can get i1=0, i2=0
Basic Theory of Circuits, SJTU
6
Applications
Noninverting amplifier
If Rf=0; R1=, then=>
Basic Theory of Circuits, SJTU 7
Applications
First stage
+ V i-
+
A typical op amp: a) pin configuration, b) circuit symbol
Basic Theory of Circuits, SJTU 2
Terminal Voltage and Currents
Vd=V2-V1 (differencial input)
Vo=AVd=A(V2-V1)
Basic Theory of Circuits, SJTU
12