数控直流电流源(线性恒流源)

合集下载

数控直流恒流源的设计 (附外文翻译)

数控直流恒流源的设计 (附外文翻译)

数控直流恒流源的设计摘要直流恒流源是提供稳定直流电流的电源装置,是科学实验和设备调试中的一种必备设备。

本文介绍了采用AT89C51单片机为主控制器,通过键盘来设置直流恒流源的输出电流,并由数码管显示电流设定值的数控直流恒流源。

本系统由单片机程控设定数字信号经过D/A转换器输出模拟量,再经过V/I转换电路的转换输出不同的电流。

输出电流范围为10~100mA,电流设置步进为1mA,输出电流调整率≤2%。

本文主要分析了数控直流恒流源系统的设计需求,阐述了数控直流恒流源的软硬件的设计原则,介绍了数控直流恒流源各模块电路的功能及设计思路,完成了数控直流恒流源系统的全部设计,给出了完整的电路图和程序。

本文设计的重点是单片机主控系统和D/A转换电路,设计的难点是高线性、高稳定度的电压/电流转换电路(V/I转换电路)。

测试结果表明,本系统能满足需要高稳定度的小功率直流恒流源领域的应用要求。

关键词数控恒流源 V/I转换ABSTRACTNumerical control DC constant current source is to provide a stable DC power devices, and equipment for scientific experiments debugging necessary equipment. This paper instructed the numerical control DC constant current source which makes use of the AT89C51 version single chip microcontroller is the main controller in this system, while the set value and the real output current can be displayed by LED. In this system, the digitally programmable signal from Single Chip Micro controller is converted to analog value by D/A converter, and then transited by voltage/current converter circuit, so adjustable output different current. Output current range of 10~100mA, current set of 1mA step, the output current adjustment rate of less than 2%.This paper analyzes the numerical control DC constant current source system design needs, expounded numerical-controlled DC constant current source of the hardware and software design principles, instructed the numerical-controlled DC constant current source circuit of the module function and design ideas, completed the numerical-controlled DC current source of all design, and the circuit is complete and procedures. This paper focuses on the design of the control system microcontroller and D/A Conversion Circuit, The difficulty in the design of high linearity, high stability of the voltage/current converter circuit (V/I Conversion Circuit). The test results have showed that it can be applied in need areas of constant current source with high stability and low power.KEY WORDS numerical control constant current source V/I convert目录前言 (1)第1章系统总体设计 (2)1.1 系统设计任务与要求 (2)1.1.1 系统设计任务 (2)1.1.2 系统设计要求 (2)1.2 重点研究内容与实现方法 (2)1.2.1 重点研究内容 (2)1.2.2 实现途径及方法 (3)1.3 系统总体方案设计 (3)1.3.1 主控模块 (3)1.3.2 键盘与显示模块 (4)1.3.3 恒流源模块 (4)1.3.4 存储器扩展模块 (4)1.3.5 电源模块 (5)1.3.6 系统原理框图 (5)第2章系统硬件各功能模块的设计 (6)2.1 主控模块的设计 (6)2.1.1 AT89C51单片机简介 (6)2.1.2 D/A转换电路的设计 (7)2.1.3 恒流源电路的设计 (9)2.1.4 数据存储器的扩展 (10)2.1.5 系统资源分配 (11)2.2 人机接口的设计 (12)2.2.1 键盘的设计 (12)2.2.2 显示电路的设计 (14)2.3 系统抗干扰设计 (15)2.3.1 看门狗电路的设计 (15)2.3.2 电源供电系统的设计 (16)2.3.3 基准电压的设计 (17)第3章控制软件的设计 (19)3.1 主程序的设计 (19)3.1.1 读写EEPROM子程序的设计 (19)3.1.2 键盘处理子程序的设计 (20)3.1.3 D/A转换子程序的设计 (20)3.2 键盘中断服务程序的设计 (21)3.3 显示中断服务程序的设计 (21)3.1.1 正常显示程序模块 (21)3.1.2 闪烁显示程序模块 (21)第4章系统调试 (28)4.1 硬件仿真调试 (28)4.2 软件的调试 (31)4.3 数据测试及误差分析 (35)第5章结论 (41)致谢 (42)参考文献 (43)附录1:电路原理图 (44)附录2:源程序 (48)附录3:英文原文 (62)附录4:中文译文 (69)前言直流恒流源是提供稳定直流电流的电源装置,是科学实验和设备调试中的一种必备设备。

(数控加工)数控直流电流源设计报告精编

(数控加工)数控直流电流源设计报告精编

(数控加工)数控直流电流源设计报告数控直流电流源一、设计任务和技术要求1.设计壹个数控直流电流源。

2.输出电流0~99mA,手动步进1mA增、减可调,误差不大于0.01mA。

3.具有输出电流大小的数码显示。

4.负载供电电压+12V,负载等效阻值100Ω。

5.电路应具有对负载驱动电流较好的线性控制特性。

6.设计电路工作的直流供电电源电路。

二、系统原理概述本设计要求设计出壹个数控的直流电源,且且输出电流为0~99mA,能够手动控制增减。

在此采用数模转换的原理,只要产生和0~99mA电流相对应的数字量(我们取数字量为0~99),再使用D/A转换器转换为模拟电压量,最后再用V/I转换器将电压量转换为和电压量相对应的电流量即可。

为控制输出电流手动步进为1mA增、减可调,我们只要保证数字量(0~99)——电压量(0~9.9V)——电流量(0~99mA)相对应,通过控制数字量手动增减步进为1可调即可。

综上,整个系统的原理框图如图壹所示:图一系统原理框图三、方案论证1.直流稳压电源电路单元小功率稳压电源由电源变压器、整流电路、滤波电路和稳压电路四个部分组成。

如图二所示:图二稳压电源组成示意图方案壹:输出可调的开关电源开关电源的功能元件工作在开关状态,因而效率高,输出功率大;且容易实现短路保护和过流保护,可是电路比较复杂,设计繁琐,在低输出电压时开关频率低,纹波大,稳定度极差,因此在本设计中不适合此方案。

方案二:由固定式三端稳压器组成由固定式三端稳压器(7805、7812、7912)输出脚V0、输入脚V i和接地脚GND组成,它们的输入端接电容能够进壹步滤波,输出端接电容能够改善负载的瞬间影响,且且此电路也比较稳定,实现简单。

因此在此采用方案二,电路原理图如图三所示:图三固定三端式直流稳压电源电路2.手动增减数字量产生单元方案壹:74LS163为可预置的4位二进制同步加法计数器。

采用俩片74LS163运用反馈清零或者反馈置数法构成十进制计数器,再将俩片73LS163构成2位十进制加法计数器。

数控直流电流源

数控直流电流源

数控直流电流源内容摘要:本系统是以直流恒流源为核心,P89V51单片机为系统主控制器,通过键盘来步进调整电流源的输出电流,步进电流≤10mA,并由数码管直观显示输出电流值。

该系统由主控制器输出数字量,经过DAC0832数模转换之后,输出模拟电压,经过运算放大器隔离放大之后,控制TIP122功率管的基极电压,随着功率管基极电压的变化而输出不同的电流。

另外,单片机还同时对输出电流进行实时监控。

电流源的输出电流经过热稳定较好的采样电阻后,完成了电流/电压转换。

采样电阻上的电压经过同相放大之后,通过TLC0832完成模/数转换,再由单片机分析处理。

通过该反馈环节,可以使电流源的输出电流更加稳定,以形成稳定的压控电流源。

关键词:P89V51 DAC0832 TLC0832 TIP122 恒流源The Digital Controlled Direct Current Source Abstract:In the system the DC current source is the centre, and P89V51 version single chip microcomputer is main controller. Output current of DC power can be set by the keyboard which step can reach 10mA, while the value of the current can be displayed by LED. The digitally programmable signal is made by the central controller, which converted to the analog voltage after the Digital-to-Analog of DAC0832. Then the analog voltage which is isolated and amplified by operational amplifiers, is sent to the base electrode of TIP122, and the current of the emitter varies with the change of the base’s voltage. Otherwise, the output current can be monitored by the MCU real-timely. The current-to-voltage conversion is completed when the current pass through the sampling resistor whose thermal stability is very well. At the same time, the DAC is achieved by the TLC0832 after the phase amplification of the voltage on sampling resistor. And then the MCU will analyse and process the data. The output current can be more stable by the feedback loop so that a stable voltage-controlled constant current power is designed.Keywords:P89V51 DAC0832 TLC0832 TIP122 Constant current power目录1 绪论 (1)1.1 电流源简介 (1)1.2 问题提出 (1)1.3 历史发展及趋向预测 (1)1.3.1 历史发展 (1)1.3.2 趋向预测 (2)1.4 数控直流电流源的可行性 (2)1.5 研究方向 (2)1.6 工作内容 (2)2 总体方案论证与比较 (1)2.1 传统电流源的设计方案 (1)2.2 数控直流电流源 (1)2.3 数控直流电流源的方案论证与比较 (2)3 模块硬件电路的设计与比较 (2)3.1 单片机P89V51芯片的介绍 (2)3.1.1 P89V51单片机的性能介绍 (3)3.1.2 功能引脚说明 (4)3.2 数模转换模块 (5)3.2.1 DAC0832概述 (5)3.2.2 DAC0832参考电压的选择 (8)3.2.3 数模转换模块设计方案 (8)3.3 模数芯片TLC0832概述 (9)3.5 人机界面方案的选择 (10)3.4 恒流源方案的选择 (12)3.5 反馈闭环方案的选择 (13)3.5.1 闭环系统的原理 (13)3.5.2 数控直流电流源闭环系统方案选择 (14)3.6 电源方案的选择 (15)3.6.1 各电源模块的设计 (15)3.6.2 三端集成稳压器概述 (17)3.6.3 系统电源模块的抗干扰措施 (17)4 软件设计 (17)4.1 主程序模块MAIN (18)4.2 定时器中断服务子程序 (19)4.3 键盘扫描子程序模块 (19)5 数据测试及分析 (21)5.1 空载输出电流测试和步进测试 (22)5.2 负载阻值变化测试 (23)5.3 纹波电流测试 (23)6 结论 (25)致谢.............................................................................................. 25错误!未定义书签。

数控直流电流源TLC5615

数控直流电流源TLC5615

数控直流电流源林彩莲黎智华周双强(广西师范大学物理与信息工程学院创新基地桂林 541004 )摘要本数控直流电流源以单片机A T89S51为控制核心,由D/A转换器TLC5615、A/D转换器TLC2543、中文字库液晶显示块、放大电路和大功率调整电路组成。

通过4x4键盘输入给定值,由D/A转换器将数字信号转换成模拟信号,经D/A输出电压作为恒流源的参考电压,以LMOP07作为电压跟随器,利用晶体管平坦的输出特性得到恒定的电流输出,最后用中文液晶显示输出。

本系统采用单片机作为控制中心,产生数字可调的直流电流源。

其内部4K flash memory 用于存储应用程序。

键盘用于设定电流源的值。

键盘为4x4结构,采用反转法读取键盘值。

P3口的低四位接键盘的行线,P3口的高四位接键盘的列线.图中数模转换器D/A与其右边部分的电路构成恒流源。

D/A输出电压作为恒流源的参考电压,LMOP07成电压跟随器。

利用晶体管平坦的输出特性即可得到恒流输出。

由于跟随器是一种深度的电压负反馈电路,因此电流源具有较好的稳定性。

为了提高稳定度,D/A 部分的参考电压采用LM336的参考电压。

R2采用大线径康铜丝制作,康铜丝温度系数很小(5ppm/o C),大线径可使其温度影响减至最小。

单片机系统仅需5V,0.5A电源即可,电源只供单片机完成键盘输入显示,D/A等控制功能。

电流源的电源由V+提供,普通任何一种直流稳压电源都可满足要求。

作者使用的是0~10V,0~2A的直流文要电源,恒流源最大电流可达2A。

一、方案论证与比较方案一:数模转换器采用通用的DAC0809,摸数转换器采用AD0832,调整管用TIP41C.方案二:数模转换器采用美国德州仪器公司的TLC5615IP,它使带由缓冲基准输入的10位电压输出数模转换器(DAC)。

DAC具有基准电压两倍的输出范围,且DAC使单调变化的。

器件使用简单,用单5V 电源工作。

方案比较:方案一的电路能实现功能,但硬件电路复杂,逻辑电路设繁琐,调试困难,A/D、D/A采用并行转换器,占用单片机口线资源较多,处理数据的精度不够。

数控直流电流源

数控直流电流源

数控直流电流源(第一题)摘要:本系统以直流电压源为核心,AT89S52单片机为主控制器,通过键盘来设置直流电压源的输出电流,设置步进等级可达0.1V,并可由数码管显示实际输出电压值和电压设定值。

本系统由单片机程控输出数字信号,经过D/A转换器(DAC0832)输出模拟量,再经过运算放大器隔离放大,控制输出功率管的基极,随着功率管基极电压的变化而输出不同的电压。

实际测试结果表明,本系统输出电压稳定,不随负载和环境温度变化,并具有很高的精度,输出电流误差范围±1%,输出电压可在0V----18V范围内任意设定,因而可实际应用于需要高稳定度小功率恒压源的领域。

一方案设计与论证1.1.总体设计方案与比较:方案一:通过编码开关来控制存储器的地址;根据地址输出对应的数字量送数模(D/A)进行转换;再根据输出的电压量来控制稳压源的变化;同时;通过四个编码开关的BCD码送给4511及数码管显示。

此方案的优点是电路简单,缺点是数据量大且存储器存储容量有限,在实验过程中发现编码开关不稳定,所以不宜采用。

其电路方框图如图1.1所示:二模块电路设计及比较系统硬件以AT89S52单片机为核心,外围包括电源模块、数码管显示模块、D/A转换模块及恒压源模块。

2.1 电源模块:本设计共用到电源有四种:即±5V,±15V.2.1.1 电源原理稳压电源由电源变压器、整流电路、滤波电路和稳压电路组成,如图2.1a 整流和滤波电路:整流作用是将交流电压U2变换成脉动电压U3。

滤波电路一般由电容组成,其作用是脉动电压U3中的大部分纹波加以滤除,以得到较平滑的直流电压U4。

b 稳压电路:由于得到的输出电压U4受负载、输入电压和温度的影响不稳定,为了得到更为稳定电压添加了稳压电路,从而得到稳定的电压U。

+5V其中+5 V给DAC0832,单片机供电;-5V为ICL7107参考电压。

要求输出的电压最大值为18V,取样电阻为0.37欧图2.2中电路提供+5V的电源;主要用于单片机(AT89S52)、数码显示(包括74LS164,ICL7107)。

数控直流恒流源

数控直流恒流源

数控直流恒流源[2005年电子大赛二等奖]文章来源:凌阳科技教育推广中心作者:浙江大学陈智德徐进陶晶发布时间:2006-6-28 17:56:34摘要:本设计采用凌阳十六位单片机SPCE061A作为直流恒流源的控制、显示和输出电流检测核心,实现了-2A到2A数控可调直流恒流源。

系统的显示部分采用128×64点阵式液晶显示屏实时显示设定电流值和实测电流值;输出电流控制采用SPCE061A单片机的D/A口输出模拟量;电流测量采用基本没有温度漂移的康锰铜电阻丝作为精密取样电阻,利用SPCE061A的A/D输入口进行电流检测和监控。

硬件电路恒流部分的控制端采用多个精密运算放大器OP07接成闭环反馈控制形式,受控部分采用达林顿管进行扩流、精确输出设定电流。

电源部分采用大功率变压器供电,多级电容滤除纹波干扰;电源输出采用三端稳压芯片进行稳压,并且利用大功率达林顿管进行扩流以满足后级功率需求。

关键字:SPCE061A 恒流源一、方案论证如题目要求,系统主要由控制器模块、电源模块、电流源模块、负载模块及键盘显示模块构成,下面分别论证这几个模块的选择。

1、控制模块的选择方案方案一:采用AT89C51单片机进行控制。

本设计需要使用的软件资源比较简单,只需要完成数控部分、键盘输入以及显示输出功能。

采用AT89C51进行控制比较简单,但是51单片机资源有限,控制输入输出,需要外接8279之类的芯片进行I/O扩展。

方案二:采用SPCE061A单片机进行控制。

SPCE061A凌阳单片机具有强大功能的16位微控制器,它内部集成7路10位ADC和2通道10位DAC,可以直接用于电流测量时的数据采集,以及数字控制输出;I/O口资源丰富,可以直接完成对键盘输入和显示输出的控制;存储空间大,能配合LCD液晶显示的字模数据存储。

采用SPCE061A单片机,能将相当一部分外围器件结合到一起,使用方便,抗干扰性能提高。

鉴于上面分析,本设计采用方案二。

数控恒流源

数控恒流源

目录一方案比较、设计与论证 (2)二理论分析与计算 (5)三系统框图及电路设计 (6)四单片机软件设计 (11)五校准、测试数据及结果分析 (13)六设计总结 (15)七参考资料 (16)八附件一(系统设计总电路图) (17)摘要本数控电流源由四部分组成:CPU主控及键盘显示电路、恒流源产生电路、信号检测电路和电源电路。

采用128×64点阵LCD汉字显示使显示更为直观。

MAX531 12位D/A转换器作数控电流源控制,具用1/4096的分辨率。

采用高性能运算放大器使电流源的调节范围达到了2~2200mA,步进为1mA,最大负载电压可以大于10V,负载变化对电流无影响。

使用具有双路检测功能的16位Σ-ΔA/D转换器AD7705作为测量部件,测量精度达到了0.01%。

在信号处理时用标准表测量数据和数字恒流源显示数据相比对的方法对数控电流源的误差进行修正,从根本上消除了系统误差。

系统采用线性直流稳压电源,减小了纹波电流。

CPU 采用89C51,软件用C51编写。

整体技术指标达到了题目的全部要求并有所创新。

关键词:数控恒流源;串联稳压电源;数字校准AbstractThe NC current supply comes in four parts: CPU and keyboards circuits and displaying circuits; constant-current source; signal detecting circuits of current and voltage; power circuits. It has more intuitive displaying by using 128×64 dot matrix LCD. MAX531, 12 bits D/A converter with 1/4096 resolution, controls NC current supply. Higher performance operational amplifier adjusts current range from 2 to 2200mA, in which current step is set 1mA. The change of load does not affect current, when the maximum of load voltage less or equal to 10V. Measurement components use 16 bits Σ-Δ A/D converter AD7705 with two-way detecting function, and its accuracy arrives 0.01%. By comparing standard meter measuring data with NC current supply displaying data, the system corrects error of the NC current source in processing signals in order to eliminate systematic errors radically. The system reduces ripple current by using DC regulated power supply.The CPU uses 89C51 MCU. The software is programmed by C51. The whole technology data has met entirely the needs of this subject and has some innovation.Key Words: Numeric control constant current source; Series-wound regulated power supply; Numeric calibration一方案比较、设计与论证⒈恒流源电路的选择根据题目要求,设计一个输出电流范围在20~2000mA、负载电压在10V以内变化的受控恒流源,我们构想了如下三个方案:方案一:图1-1为固定恒流源,如果把基准源LM336-2.5 上的基准电压替换成D/A转换器上的输出电压,此恒流源就是一个受控电流源。

全国大学生电子设计竞赛-数控直流电流源

全国大学生电子设计竞赛-数控直流电流源

数控直流电流源摘要:本文设计了一种数控直流电流源的方案,给出了硬件组成和软件流程及源程序。

以STC89C52单片机为核心控制电路,利用12位D/A模块产生稳定的控制电压,12位A/D模块完成电流测量。

输出电流范围为20~2000mA,具有“+”“-”步进调整功能,步进为1mA,纹波电流小,LCD同时显示预置电流值和实测电流值,便于操作和进行误差分析。

关键词:STC89C52数控电流源Numerical Control DCCurrent SourceAbstract:This paper introduces a design scheme of numerical control DC current source ,and gives the hardware composition and software flow as well as the source program. UseSTC89C52MCU as the core control circuit. 12 D/A module generates A steady the control voltage and 12 A/D module completes current measurements.The current-output ranges 20 to 2000mA,with "+" and "-" steppingfor 1mA adjustment function and small ripple current. LCD could show presets current value and the measured resultat the same time,for easy operation and error analysis.Keywords:STC89C52 Numerical controlCurrent source1设计方案的选择1.1电路综合设计流程图1.1.1数控电流源电路设计流程图1.2总体设计方案经初步分析设计要求,得出总体电路由以下几部分组成:电源模块,控制模块(包括AD、DA转换)恒流源模块,键盘模块,显示模块。

习题7 数控式直流电流源7.1 数控式直流电流源设计指标及框图1.数控式 ...

习题7 数控式直流电流源7.1 数控式直流电流源设计指标及框图1.数控式 ...
34
architecture arch of disp is signal mid:std_logic_vector(4 downto 0); signal disp2:std_logic_vector(1 downto 0); begin process(clk,inl,inm,inh) begin if clk'event and clk='1' then if disp2="11" then disp2<="00"; else disp2<=disp2+1; end if; disp1<=disp2; case disp2 is when "10"=>mid<='0'&inl; when "01"=>mid<='0'&inm; when "00"=>mid<='0'&inh; when "11"=>mid<="10000";--显示小数点 when others =>null; end case; case mid is when "00000"=>midd<="00111111"; when "00001"=>midd<="00000110"; when "00010"=>midd<="01011011"; when "00011"=>midd<="01001111"; when "00100"=>midd<="01100110"; when "00101"=>midd<="01101101"; when "00110"=>midd<="01111101"; when "00111"=>midd<="00000111"; when "01000"=>midd<="01111111"; when "01001"=>midd<="01101111"; when "10000"=>midd<="10111111"; when "10001"=>midd<="10000110"; when "10010"=>midd<="11011011"; when "10011"=>midd<="11001111"; when "10100"=>midd<="11100110"; when "10101"=>midd<="11101101"; when "10110"=>midd<="11111101"; when "10111"=>midd<="10000111"; when "11000"=>midd<="11111111"; when "11001"=>midd<="11101111"; when others =>null;

课程设计—数控直流电流源

课程设计—数控直流电流源

湖南商学院综合电子设计实验数控直流电流源的设计学院:计算机与电子工程学院学生姓名:许朝霞、张望辉、胡啸学号:090910059、090910070、090910066 指导教师:苏岱安职称副教授专业:电子信息工程班级:电信 0902完成时间: 2012 - 10数控直流电流源1 设计任务及要求1.1 设计任务设计并制作数控直流电流源。

输入交流200~240V,50Hz;输出直流电压≤10V。

其原理示意图如下所示。

图1.1 原理图1.2 设计要求1.2.1 基本要求(1)输出电流范围:200mA~2000mA;(2)可设置并显示输出电流给定值,要求输出电流与给定值偏差的绝对值≤给定值的1%+10 mA;(3)具有“+”、“-”步进调整功能,步进≤10mA;(4)改变负载电阻,输出电压在10V以内变化时,要求输出电流变化的绝对值≤输出电流值的1%+10 mA;1.2.2 发挥部分(1)输出电流范围为20mA~2000mA,步进1mA;(2)设计、制作测量并显示输出电流的装置(可同时或交替显示电流的给定值和实测值),测量误差的绝对值≤测量值的0.1%+3个字;(3)改变负载电阻,输出电压在10V以内变化时,要求输出电流变化的绝对值≤输出电流值的0.1%+1 mA;(5)其他。

2 方案设计与论证2.1 设计思想采用改进型的单输出端单向电流源电路来产生恒定电流。

该方法是用精密电阻取样得到反馈电压,将反馈电压与高精度的参考电压比较得到误差电压,此误差电压经放大后输出控制调整管的导通程度,使预设电流值和实测电流值的逐步逼近,直至相等,从而达到数控的目的。

从题目的要求来分析,该题目最大的难点在于大电流输出和高精度控制,所以在具体的方案确定中,大电流、功耗,以及精度、误差等都是我们所必须要考虑和克服的。

2.2 方案论证对于数控直流电流源的设计有很多方案,下面做一下介绍:方案一:方框图如图2.1所示,数控直流电流源由键盘、控制器、显示器、数模转换、电压电流转换和模数转换等部分组成,键盘的作用是设定电流值和确定电流步进值;控制器的作用是将设定电流值的8位(或12位)二进制输出;显示器的作用是显示设定电流值;数模转换的作用是设定电流值的数字量转换为模拟量;电压电流转换的作用是将电压转换成恒定电流输出;模数转换的作用是将输出的模拟量再转换为数字量反馈到控制器,使实际输出电流值与设定电流值一致。

作品说明书—数控直流电流源

作品说明书—数控直流电流源

成都航院第六届科技创新竞赛——作品说明书题目:数控直流电流源系 别: 电子工程系姓 名: 李海军、蔡宗斌、魏峰鼎 班 级: 20733、20835、20835 指导教师: 曾伟一、曾友州 日 期: 2009年12月数控直流电流源设计——说明书制作人:李海军、蔡宗斌、魏峰鼎成都航空职业技术学院电子工程系一、方案论证本系统主要由单片机、显示器、键盘、电压控制电流源模块、电源等组成。

1.单片机的选择对单片机的要求:只要能够方便地扩展显示器、键盘等外设即可,其他并无特殊要求。

故我们选择的是MSP430.2.显示器的选择对显示器的要求:能够显示设定的输出电流、实际输出电流等;可以用6位以上LED数码显示器、液晶显示器或者触摸屏,LED使用比较方便,但液晶显示器和触摸屏显示信息量大,且可以显示汉字,人机交互的友好性强,所以不采用数码显示器。

而液晶显示器便宜实惠故选择它。

3.键盘选择单片机输入设备通常有键盘、拨码开关、触摸液晶屏等,也可以采用红外遥控的方法进行输入。

鉴于本设计中的输入设备主要用于设定输出电流值和(采用LED 数码显示器时)切换显示内容,故不方便采用拨码开关和红外遥控,所以选择键盘和触摸液晶屏作为输入设备都是可以的。

而本产品选择的是键盘作为输入设备。

4.压控电流源电压控制的电流源模块,可采用的方案有以下三种:①功率集成运放,如OPA501、OPA541、PA05等;②运放+晶体三极管放大;③可调集成稳压模块,如LM317。

方案一:直接使用功率集成运放。

特点:使用容易、性能稳定可靠。

常用的功率集成运放一般能够输出±40V,10~15A的功率,性能指标也较高,完全能够满足本产品的要求。

功率集成运放还可以双极性输出,但本产品只需单极性输出,却需要为功率集成运放配置正负双电源。

方案二:利用三端可调直流稳压集成芯片,通过调整其输出电压来实现负载的恒流特性。

特点:直接利用稳压片提供所需功率,只需要添加相应控制电路即可实现本产品的大部分要求,但是,其电流调整率指标只能达到0.5%~0.15%,不满足题目要求,方案三:采用“运放+功率三极管”的结构构成恒流源。

数控直流电流源

数控直流电流源

C1 C2
C3 C4
Ui n
1
V7 IN
8
0
5
VOUT
3
GND
C1 C2
C3 C4
R
RL
2
1 2
LM317构成电流源电路
LM3 17
Uin
3 VIN
VOUT 2
ADJ
C1 C2
C3 C4
U0 R1
R2
UO
(1
R2 R1
)1.25
电子系统设计-数控直流电流源设计
基本电流源电路
Uin 1K
I=Uin/R
U2B
5
L M358
7
6
RL
R I
Iout U 1 U 2 R
U
5
U
in
2
U
2
,U
6
U1 2
U 5 U 6 , Uin U 1 U 2
Iout U in R
R1 Uin
1 0K
R3
1 0K
R2 1 0K
5
B
U5
7
6
U6
R4 1 0K
R
U1 Io ut
U2 RL
电子系统设计-数控直流电流源设计
扩流后电流源电路
VCC
R3
8
Uin
R1 10K
1 0K
3
U2A 1
R5 1K
+5
2 L M358
RW
1 0K R2
R4 10K
4
根据 V3=V2,得 恒流 源 Iout=Uin/R
1 0K
VDD T IP122
Io ut R
RL

数控恒流源的设计与实现

数控恒流源的设计与实现

数控恒流源的设计与实现数控恒流源是一种电子设备,它可以在恒定的电流范围内自动调节输出电流。

这种设备被广泛应用于电子、机械、光学、医疗等领域。

它具有精度高、效率高、可靠性强等优点。

下面,我们将详细讨论数控恒流源的设计与实现。

一、设计方案1.数控恒流源的工作原理数控恒流源的工作原理是利用电阻、电感和开关管等元件组成一个功率电路,通过对开关管的控制,来调节输出电流。

具体过程如下:①从外部输入一个控制信号。

②控制信号由微控制器或其他控制元件解码。

③解码器将控制信号转换为PWM信号。

④PWM信号控制开关管,使其按照一定的频率开闭。

⑤开关管在闭合瞬间,会将电源的电能存储在电感中。

⑥当开关管打开时,存储在电感中的电能会被释放,形成一定的输出电流。

(注:开关管的频率一般在几十KHz以上,这样可以减小开关管的体积,并提高效率。

)2.电路设计数控恒流源的电路设计需要考虑到以下因素:(1)电路的精度:为保证电路输出的电流精度,需要选择高精度的元件。

(2)电路的效率:在能满足精度要求的前提下,应尽量提高电路的效率,以减小体积和降低成本。

(3)电路的稳定性:电路需要在多种不同的工作条件下稳定地输出电流,因此需要在设计中考虑到各种因素的影响。

(4)电路的控制:为了保证电路的稳定和精度,需要采用数字控制技术,实现对电流的精确控制。

基于以上考虑,我们可以设计出如下电路:(1)控制电路:采用单片机或FPGA等数字控制芯片,实现对电路的精确控制。

(2)功率电路:由电源、电感、开关管、稳压电路等部分组成。

(3)反馈电路:通过反馈电路,实现对输出电流的精确测量和控制。

二、实现方法1.电路的制作电路的制作需要根据电路设计方案进行,选择合适的元件进行制作。

在制作的过程中需要注意以下几点:(1)元件的选取需要严格参照设计方案,要保证元件的精度、效率和稳定性。

(2)焊接需要仔细,避免焊接不牢固或损坏元件。

(3)在调试电路时,需要注意安全,避免电路损坏或对人身安全造成影响。

高效数控恒流电源

高效数控恒流电源

高效数控恒流电源数控机床是目前制造业生产的重要设备之一,而数控恒流电源则是数控机床上不可或缺的关键元件之一。

高效数控恒流电源可以为数控机床提供稳定、可靠的电源,并在保证机床高效工作的同时还能减少能耗、提高生产效率。

本文将详细介绍数控恒流电源的工作原理、特点以及应用。

一、数控恒流电源的工作原理数控恒流电源主要由变压器、整流滤波器、电流调节器、反馈控制电路等组成。

其工作原理是通过控制电流来维持输出电压的稳定,使得数控机床能够获得稳定的电源输出,实现高效的加工作业。

具体而言,数控恒流电源的工作过程如下:首先,变压器将输入电压升高或降低,经过整流滤波器后,形成一个较为稳定的直流电压。

接着,电流调节器通过调节输出电流的大小来维持恒流输出。

这个过程需要通过反馈控制电路来实现,即将输出电流与设定电流进行比较,得出误差后将其控制在一定范围内,从而达到恒流输出的目的。

二、数控恒流电源的特点1、稳定可靠:数控恒流电源能够在恒流模式下稳定输出,即使外部环境的变化也能够适应,保持恒定的输出电流。

2、精度高:数控恒流电源的电流调节精度高,可以在短时间内快速控制电流输出。

3、适应性强:数控恒流电源能够根据不同的设备和需求进行调整,以适应不同的电源需求。

4、节能环保:数控恒流电源利用节能技术,能够在工作过程中自动调整输出功率,减少能耗,从而更加环保。

三、数控恒流电源的应用数控恒流电源广泛应用于数控机床、焊接设备、医疗设备、通讯设备等领域,为这些领域的高速高精度设备提供高效可靠的电源供应。

在数控机床中,数控恒流电源可通过精确调节输出电流,保证机床恒定的切削负载,从而提高机床的工作效率和精度。

总之,高效数控恒流电源的出现极大地提高了数控机床的生产效率和切削质量,对于现代制造业的发展有着重要的作用。

未来,随着制造业技术的不断更新,数控恒流电源也将不断适应市场需求而不断更新和改进,为数控机床领域的稳定高效发展提供更好的支持。

简易数控直流电流源毕业设计(优.选)

简易数控直流电流源毕业设计(优.选)

目录摘要 (3)Abstract (4)第1章绪论 (5)1.1 课题背景.......................................................................................................................1.2 技术发展历程...............................................................................................................1.3 本文的研究目的意义及主要工作...............................................................................1.4 小结............................................................................................................................... 第2章方案设计 ..................................................................2.1 方案比较与论证...........................................................................................................2.1.2系统设计方案选择.................................................................................................2.1.2压控恒流源的选择.................................................................................................2.1.3显示方案选择.........................................................................................................2.2 总体设计方案...............................................................................................................2.3本章小结........................................................................................................................ 第3章硬件电路设计 ..........................................................3.1 电源电路设计...............................................................................................................3.1.1 TL7660简介...........................................................................................................3.1.2 电源电路设计........................................................................................................3.2 控制电路.......................................................................................................................3.2.1 单片机时钟电路....................................................................................................3.2.2 单片机复位电路....................................................................................................3.2.3 控制电路设计........................................................................................................3.3 D/A转换电路................................................................................................................3.4 压控恒流源电路...........................................................................................................3.4.1 LM324简介............................................................................................................3.4.2压控恒流源电路设计.............................................................................................3.5 显示电路.......................................................................................................................3.5.1 74LS164简介.........................................................................................................3.5.2 显示电路设计........................................................................................................3.6 本章小结....................................................................................................................... 第4章软件程序设计 ..........................................................4.1 主程序设计流程...........................................................................................................4.2 程序设计.......................................................................................................................4.3 本章总结....................................................................................................................... 结论.........................................................................................致谢......................................................................................... 参考文献................................................................................. 附录A 基于AT89S51单片机的电路原理图 ..................... 附录B 基于AT89S51单片机的源程序 .............................摘要随着电子技术的飞速发展,电子设备越来越多,而电子设备要工作都需要有电源能够为其通电。

数控直流电流源设计综述

数控直流电流源设计综述

信息与控制工程学院硬件课程设计说明书数控直流电流源设计学生学号:学生姓名:专业班级:自动1003班指导教师:职称:讲师起止日期:2013.03.11~2013.03.31吉林化工学院Jilin Institute of Chemical Technology信息与控制工程学院硬件课程设计说明书课程设计任务书一、设计题目:数控直流电流源二、设计目的1.掌握STC89C52单片机最小系统及接口电路的设计;2.熟练掌握单片机的编程方法;3.掌握利用DXP软件绘制电路图的方法;三、设计任务及要求设计并制作数控直流电流源。

输入交流200~220V,50Hz;输出电流≤10V,输出电流范围为20~2000mA。

四、设计时间及进度安排设计时间共三周(2013.03.11~2013.03.31),具体安排如下表:周安排设计内容设计时间第一周学习掌握分析硬件的外围电路,查找和熟悉相关芯片的基本知识原理和应用,设计单片机最小系和外围电路的原理图,学习使用DXP工具,绘制设计电路原理图。

2013.3.11~20013.3.17第二周按原理图焊接电路板,学习如何驱动各模块以及利用Keil进行编程,学习对单片机各模块功能程序的调试与整合。

2013.3.18~20013.3.24第三周完成并提交硬件设计作品及硬件课程设计说明书,课程设计答辩。

2013.3.25~20013.3.31五、指导教师评语及学生成绩指导教师评语:2013年月日成绩指导教师(签字):摘要:该数控直流电流源以精密压控电流源为核心、用单片机、DAC组成控制电路,引入“S类”反馈控制功率放大电路,实现超精密电流控制、具备精准的扩流能力、低失调、有步进、同时带有丰富扩展功能的精密电流源。

经过ADC采样,完成输出电流显示功能,并使输出范围覆盖0~2A,是理想的电流源解决方案。

关键词:精密电流源低失调 S类功率放大器Abstract: The direct current source of numerical control bases on accurate VCCS, using MCU and DAC as controller kernel, importing circuit of power amplification of type S with feedback control; achieves ultra accurate current control; has low offset and excellent capacity for current enlarging; has step by step motion. At the same time, it provides abundance extended functions. According to the ADC sampling, it carries out the function of displaying the current output, meanwhile it achieves a range of 0 to 2A. Above all, it is an ideal solution of current source.Keyword: accurate current source , low offset , power amplification of type S目录第1章绪论 ---------------------------------------------------------------------------------------------------------------------- - 1 -1.1 恒流源的应用 ------------------------------------------------------------------------------------------------------------- - 1 -1.1.1 在计量领域中的应用 ------------------------------------------------------------------------------------------- - 1 -1.1.2 在半导体器件性能测试中的应用---------------------------------------------------------------------------- - 1 -1.2 恒流源的发展历程 ------------------------------------------------------------------------------------------------------- - 2 -1.2.1 电真空器件恒流源的诞生 ------------------------------------------------------------------------------------- - 2 -1.2.2 晶体管恒流源的产生和分类 ---------------------------------------------------------------------------------- - 2 -1.2.3 集成电路恒流源的出现和种类 ------------------------------------------------------------------------------- - 2 -第2章恒流源的设计理论与总体方案--------------------------------------------------------------------------------------- - 3 -2.1 总体方案选取及性能指标---------------------------------------------------------------------------------------------- - 3 -2.1.1 数控直流电流源的设计要求 ---------------------------------------------------------------------------------- - 3 -2.1.2数控直流电流源系统设计方案比较 ------------------------------------------------------------------------- - 3 -.2 2恒流源基本设计原理与实现方法 ------------------------------------------------------------------------------------ - 4 -.2 2.1恒流源基本设计原理 ------------------------------------------------------------------------------------------- - 4 -.2 2.2引起稳定电源输出不稳定的主要原因---------------------------------------------------------------------- - 4 -第3章系统的硬件设计与实现 ------------------------------------------------------------------------------------------------ - 5 -3.1 单片机介绍----------------------------------------------------------------------------------------------------------------- - 5 -3.1.1 认识单片机 -------------------------------------------------------------------------------------------------------- - 5 -3.1.2 其他外围器件----------------------------------------------------------------------------------------------------- - 9 -3.2 A/D和D/A的介绍------------------------------------------------------------------------------------------------------ - 11 -3.2.1 A/D和D/A的选择--------------------------------------------------------------------------------------------- - 11 -3.2.2 A/D和D/A的介绍--------------------------------------------------------------------------------------------- - 12 -3.3 供电电源的设计 -------------------------------------------------------------------------------------------------------- - 14 -3.4数控直流电流源主要单元器件的选择 ----------------------------------------------------------------------------- - 15 -3.4.1开关的选择------------------------------------------------------------------------------------------------------- - 15 -3.4.2 PWM芯片的选择 ---------------------------------------------------------------------------------------------- - 15 -3.4.3电流传感器的选择 --------------------------------------------------------------------------------------------- - 19 -3.5 电源输入EMI滤波和主电路前级整流滤波电压电路设计 -------------------------------------------------- - 21 -3.5.1电源输入EMI滤波电路 -------------------------------------------------------------------------------------- - 21 -3.5.2主电路前级整流滤波稳压电路------------------------------------------------------------------------------ - 21 -3.6 PWM调制波与MOSFET的驱动电路的设计 ------------------------------------------------------------------- - 22 -3.7 斩波电路与滤波稳流电路的设计 ---------------------------------------------------------------------------------- - 22 -3.7.1输入电压最大有效值的计算--------------------------------------------------------------------------------- - 22 -3.7.2斩波与滤波稳流电路原理图--------------------------------------------------------------------------------- - 22 -3.8 电流检测电路的设计 -------------------------------------------------------------------------------------------------- - 23 -3.8.1电流/电压转换电路 -------------------------------------------------------------------------------------------- - 23 -3.8.2隔离型电流检测电路 ------------------------------------------------------------------------------------------ - 23 -4.1 软件流程------------------------------------------------------------------------------------------------------------------ - 24 -4.1.1主程序与A/D采集程序流程图 ----------------------------------------------------------------------------- - 24 -程序初始化 -------------------------------------------------------------------------------------------------------------------------- - 24 -5.1 测试方法------------------------------------------------------------------------------------------------------------------ - 25 -5.2 总结 ------------------------------------------------------------------------------------------------------------------- - 25 -附录------------------------------------------------------------------------------------------------------------------------------------ - 27 -附1:原件清单 -------------------------------------------------------------------------------------------------------- - 27 -附2:总电路图 -------------------------------------------------------------------------------------------------------- - 28 -附3:部分程序 -------------------------------------------------------------------------------------------------------- - 29 -参考文献 ----------------------------------------------------------------------------------------------------------------------------- - 31 -第1章绪论在实际生活中,很多电子系统都要求有稳定的直流电流源供电,特别是在厂矿企业和实验室中,直流稳压电流源作为一种必备的电子设备得到了广泛的应用。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

数控直流电流源摘要:本文设计了一种数控直流电流源的方案,给出了硬件组成和软件流程及源程序。

以STC89C52单片机为核心控制电路,利用12位D/A模块产生稳定的控制电压,12位A/D模块完成电流测量。

输出电流范围为20~2000mA,具有“+”“-”步进调整功能,步进为1mA,纹波电流小,LCD同时显示预置电流值和实测电流值,便于操作和进行误差分析。

关键词:STC89C52数控电流源Numerical Control DCCurrent SourceAbstract:This paper introduces a design scheme of numerical control DC current source ,and gives the hardware composition and software flow as well as the source program. UseSTC89C52MCU as the core control circuit. 12 D/A module generates A steady the control voltage and 12 A/D module completes current measurements.The current-output ranges 20 to 2000mA,with "+" and "-" steppingfor 1mA adjustment function and small ripple current. LCD could show presets current value and the measured resultat the same time,for easy operation and error analysis.Keywords:STC89C52 Numerical controlCurrent source1设计方案的选择1.1电路综合设计流程图1.1.1数控电流源电路设计流程图1.2总体设计方案经初步分析设计要求,得出总体电路由以下几部分组成:电源模块,控制模块(包括AD、DA转换)恒流源模块,键盘模块,显示模块。

以下就各电路模块给出设计方案。

1.2.1 控制部分方案方案一:采用FPGA作为系统的控制模块。

FPGA可以实现复杂的逻辑功能,规模大,稳定性强,易于调试和进行功能扩展。

FPGA采用并行输入输出方式,处理速度高,适合作为大规模实时系统的核心。

但由于FPGA集成度高,成本偏高,且由于其引脚较多,加大了硬件设计和实物制作的难度。

方案二:采用单片机作为控制模块核心。

单片机最小系统简单,容易制作PCB,算术功能强,软件编程灵活、可以通过ISP方式将程序快速下载到芯片,方便的实现程序的更新,自由度大,较好的发挥C语言的灵活性,可用编程实现各种算法和逻辑控制,同时其具有功耗低、体积小、技术成熟和成本低等优点。

基于以上分析,选择方案二,利用STC89C52单片机将电流步进值或设定值通过换算由D/A转换,驱动恒流源电路实现电流输出。

输出电流经处理电路作A/D转换反馈到单片机系统,通过补偿算法调整电流的输出,以此提高输出的精度和稳定性。

在器件的选取中,D/A转换器选用12位优质D/A转换芯片TLV5618,直接输出电压值,且其输出电压能达到参考电压的两倍,A/D转换器选用高精度12数转换芯片ADS7816。

.1.2.2 恒流源模块设计方案方案一:由三端可调式集成稳压器构成的恒流源。

其典型恒流源电路图如图1.2.1所示。

一旦稳压器选定,则U0 是定值。

若R固定不变,则I不变,因此可获得恒流输出。

若改变R值,可使输出 I改变。

因此将R设为数控电位器,则输出电流可以以某个步长进行改变。

此电路结构简单,调试方便,价格便宜,但是精密的大功率数控电位器难购买。

图1.2.1 三端集成稳压器构成的恒流源框图方案二:由数控稳压器构成的恒流源方案一是在U不变的情况下,通过改变R的数值获得输出电流的变化。

如果固定R不变,若能改变U的数值,同样也可以构成恒流源,也就是说将上图中的三端可调式集成稳压源改为数控电压源,其工作原理和上图类似。

此方案原理清楚,若赛前培训过数控电压源的设计的话,知识、器件有储备,方案容易实现。

但是,由1.2.2图可知,数控稳压源的地是浮地,与系统不共地线,对于系统而言,地线不便处理。

图1.2.2 数控电压源构成的恒流源框图方案三:采用集成运放的线性恒流源该恒流源输出的电流与负载无关, 通过使用两块构成比较放大环节,功率管构成调整环节,利用晶体管平坦的输出特性和深度的负反馈电路可以得到稳定的恒流输出和高输出阻抗,实现了电压—电流转换。

其原理框图如图1.2.3所示。

图1.2.3 集成运放构成的恒流源框图综合考虑,采用方案三,使用低噪音、高速宽带运放OP27BJ和达林顿管TIP122构成一个恒流源电路。

1.2.3 显示模块设计方案方案一:使用LED数码管显示。

数码管采用BCD编码显示数字,对外界环境要求低,易于维护。

但根据题目要求,如果需要同时显示给定值和测量值,需显示的内容较多,要使用多个数码管动态显示,使电路变得复杂,加大了编程工作量。

方案二:使用LCD显示。

LCD具有轻薄短小,可视面积大,方便的显示汉字数字,分辨率高,抗干扰能力强,功耗小,且设计简单等特点。

综上所述,选择方案二。

采用12864汉字图形点阵液晶显示模块同时显示电流给定值和实测值。

1.2.4 键盘模块设计方案方案一:采用独立式按键电路,每个按键单独占有一根I/O接口线,每个I/O口的工作状态互不影响,此类键盘采用端口直接扫描方式。

缺点为当按键较多时占用单片机的I/O口数目较多。

方案二:采用标准4X4键盘,此类键盘采用矩阵式行列扫描方式,优点是当按键较多时可降低占用单片机的I/O口数目,而且可以做到直接输入电流值而不必步进。

题目要求可进行电流给定值的设置和步进调整,需要的按键比较多。

综合考虑两种方案及题目要求,采用方案二,方便进行扩展。

1.2.5 电压源模块设计方案系统需要多个电源,单片机、A/D、D/A使用+5V稳压电源,运放需要±18V稳压电源,同时题目要求最高输出电流为2000mA,电源需为系统提供足够大的稳定电流。

综上所述,采用三端稳压集成7805、78H15、79H15分别得+5V和±12V的稳定电压,78H、79H 系列稳压器输出电流可以达到5A,能为系统提供足够大的稳定电流。

利用该方法实现的电源电路简单,工作稳定可靠。

1.3 系统组成经过方案比较与论证,最终确定系统的组成框图如图1.3.1所示。

图1.3.1 系统组成框图2单元电路的设计2.1控制模块电路设计2.1.1最小系统电路设计通过键盘模块输入给定的电流值或是步进调整信号传送给单片机,单片机在接受到信号后进行处理运算,并显示其给定的电流值,然后经D/A转换以输出电压,驱动恒流源电路实现电流输出,并将采样电阻上的电压经过A/D转换输入单片机系统,通过补偿算法进行数值补偿处理,调整电流输出,并驱动显示器显示当前的电流值。

最小系统的核心为STC89C52,为了方便单片机引脚的使用,我们将单片机的引脚用接口引出,电路如图2.1.1所示:P0口和P3.0~P3.3是LCD接口;P1口作为A/D与D/A转换接口;P2口为键盘接口。

图2.1.1 最小系统原理图2.1.2 D/A 转换电路设计根据设计基本要求,电流的输出范围为200mA ~2000mA ,将最高输出电流2000mA 进行十进制~二进制转换有102(2000)(11111010000)要满足步进为1mA 的要求,需选用十二位的D/A 转换器,TLV5618是较好的选择。

TLV5618是带有缓冲基准输入(高阻抗)的双路12位电压输出DAC 。

DAC 输出电压范围可编程为基准电压的两倍,其输出电压V out =2×V ref ×D/4096有两个输出端口A 和B ,且它们可以同步刷新。

此外,该器件还包含上电复位功能。

通过3线串行总线可对TLV5618实现控制,可采用单5V 电源进行供电。

在快速、慢速模式下功耗分别为8mW 和3mW ,输入数据的刷新率可达1.21MHz 。

图2.1.2 DA转换电路2.1.3 A/D转换电路设计A/D转换采用BB公司的ADS7816构成的转换电路,如图2.1.3。

ADS7816是12位串行模/数转换器,采样频率高达200kHz,转换所需时间短,转换精度高。

ADS7816转换器将采样电阻上的电压转换成数字信号反馈给单片机,单片机将此反馈信号与预置值比较,根据两者间的差值调整输出信号大小。

这样就形成了反馈调节,提高输出电流的精度。

同时,A/D采样回来的电流经过单片机处理传送到LCD,可以显示当前的实际电流值。

图2.1.3 AD转换电路2.2恒流源电路设计恒流源电路的设计是本系统设计的核心,它采用电压来控制电流的变化。

为了能产生恒定的电流,我们采用电压闭环反馈控制。

恒流源电路原理图如图2.2.1所示,该电路主要由运算放大器、大功率达林顿管、采样电阻RS 、负载RL等组成。

取样电阻RS从输出端进行取样,再与基准电压比较,并将误差电压放大后反馈到调整管,使输出电压在电网电压变动的情况下仍能保持稳定。

电路中调整管采用大功率达林顿管TIP122,既能满足输出电流最大达到2A的要求,也能较好地实现电压近似线性地控制电流。

RS选用热稳定性好的康铜丝,并选取较大值(2Ω),使得在电流较低时也能获得较大的电压值。

运算放大器采用高精度的OP27BJ作为电压跟随器。

DAOUT即为输入电压Ui,当Ui一定时,运算放大器的Ui=US ,I=IL=IS=Ui/RS,即I不随RL的变化而变化,从而实现压控恒流。

由此得到恒流源输出电流的大小为:I0= Ui/RS图2.2.1 恒流源电路原理图2.3 键盘电路设计在设计中,使用标准的4x4键盘,可以实现0~9数字输入,“+”、“-”步进设置。

其电路图如图2.3.1所示。

图2.3.1 键盘电路原理图2.4 显示电路设计本设计采用12864型汉字图形点阵液晶显示模块,可显示汉字及图形,内置8192个中文汉字(16X16点阵)、128个字符(12X16点阵)及64X256点阵显示RAM(GDRAM)。

可显示内容为192列×64行,还带多种软件功能:光标显示、画面移位、自定义字符、睡眠模式等。

12864采用8位并行接法,与单片机P3和P4口相连,用于显示设定值与当前测量值。

其接口如图2.4.1所示。

图2.4.1 LCD显示电路原理图2.5 稳压电源设计在本设计中,运放需±15V供电,单片机需、A/D、D/A需+5V供电,采用三端稳压器7805、78H15、79H15构成一稳压电源,题目要求输出电流范围是200mA~2000mA,而78H、79H系列稳压器输出电流最大可以达到5A,能为系统提供足够大的稳定电流。

相关文档
最新文档