Verilog程序编写规范

合集下载

2024版Verilog编程规范(华为)

2024版Verilog编程规范(华为)
自动化测试实现
实现自动化测试流程,提高测试 效率和准确性。
06
代码审查与质量保证
代码审查流程介绍
提交代码
开发人员将代码提交到代码审查 系统中。
分配审查任务
系统或审查组长将审查任务分配 给审查人员。
代码审查
审查人员对代码进行逐行审查, 检查是否符合编程规范和质量要
求。
审查通过
经过多轮反馈和整改后,代码符 合要求,审查通过。
通过定期的培训、分享和宣传活动,提高开 发人员对Verilog编程规范的认识和重视程度。
引入自动化检查工具
建立持续改进机制
研究和引入自动化检查工具,对Verilog代码 进行静态分析和规范检查,进一步提高代码 质量和开发效率。
建立规范的持续改进机制,收集开发人员的 反馈和建议,及时调整和优化规范内容。
可重用性原则
模块应具有高内聚、低耦 合的特点,便于在不同项 目中重用。
可维护性原则
模块应易于理解、测试和 修改,以降低维护成本。
顶层结构设计方法
自顶向下设计
从系统整体需求出发,逐 步细化到各个模块的设计 和实现。
模块化设计
将系统划分为多个独立的 模块,每个模块承担一定 的功能,便于并行开发和 维护。
减少错误和提高代码质量
02
规范的编程习惯有助于减少编码过程中的错误,提高代码的稳
定性和可靠性。
促进知识共享和传承
03
统一的编程规范有利于知识的积累和传承,降低新人学习成本,
提高团队整体技术水平。
适用范围及对象
适用范围
本规范适用于使用Verilog语言进 行硬件描述和设计的所有场景,包 括但不限于数字电路设计、验证、 仿真等。
端口名应避免与模块内部变量名冲突。

VerilogHDL程序编写规范2

VerilogHDL程序编写规范2

文档控制表
文档
编辑工具 作者情况
标题: 版本号: 发行号:
实行日期: 关键词
名称 模板:
原作者: 协作者: 校对: 审核:
表1 文档控制表VeFra bibliotekilogHDL程序编写规范 V1.0
2008年6月1日
Microsoft® Office Word 2003
刘兆庆 罗杰俊
I
哈尔滨工业大学自动化测试与控制研究所技术规范
4 可综合性编码规范........................................................................................................................18 4.1 寄存器描述.........................................................................................................................18 4.2 避免产生锁存器.................................................................................................................18 4.3 避免产生组合电路反馈。................................................................................................ 19 4.4 完整的敏感量列表.............................................................................................................20 4.5 阻塞和非阻塞赋值.............................................................................................................21 4.6 case 语句和 if-else 语句.....................................................................................................23 4.7 时序逻辑电路的描述.........................................................................................................24 4.8 对关键信号的描述.............................................................................................................25 4.9 避免使用延时语句.............................................................................................................25

Verilog程序编写规范

Verilog程序编写规范

Verilog程序编写规范在满足功能和性能目标的前提下,增强代码的可读性、可移植性,首要的工作是在项目开发之前为整个设计团队建立一个命名约定和缩略语清单,以文档的形式记录下来,并要求每位设计人员在代码编写过程中都要严格遵守。

良好代码编写风格的通则概括如下:一、命名规则(1)对所有的信号名、变量名和端口名都用小写,这样做是为了和业界的习惯保持一致;对参数名、常量名和用户定义的类型用大写;(2)使用有意义的信号名、端口名、函数名和参数名;(3)信号名长度不超过20个字符;(4)对于时钟信号使用clk 作为信号名,如果设计中存在多个时钟,使用clk作为时钟信号的前缀;(5)对来自同一驱动源的信号在不同的子模块中采用相同的名字,这要求在芯片总体设计时就定义好顶层子模块间连线的名字,端口和连接端口的信号尽可能采用相同的名字;(6)对于低电平有效的信号,应该以一个下划线跟一个小写字母b 或n 表示。

注意在同一个设计中要使用同一个小写字母表示低电平有效;(7)对于复位信号使用reset 作为信号名,如果复位信号是低电平有效,建议使用reset_n;(8)当描述多比特总线时,使用一致的定义顺序,采用从高到低的定义顺序。

对于verilog 建议采用bus_signal[x:0]的表示;(9)尽量遵循业界已经习惯的一些约定。

如*_r 表示寄存器输出,*_a 表示异步信号,*_pn 表示多周期路径第n 个周期使用的信号,*_nxt 表示锁存前的信号,*_z 表示三态信号等;二、文档结构(10)在源文件、批处理文件的开始应该包含一个文件头、文件头一般包含的内容如下例所示:文件名,作者,模块的实现功能概述和关键特性描述,文件创建和修改的记录,包括修改时间,修改的内容等;// +FHDR-------------------------------------------------------------------// Copyright @ 2008, State Key Laboratory of Advanced Optical Communication Systems & Networks, PKU // ----- -----------------------------------------------------------------------// FILE NAME:// AUTHOR:// DATA OF CREATION:// -----------------------------------------------------------------------------// PURPOSE://// --- -----------------------------------------------------------------------// RELEASE HISTORY:// DATA AUTHOR DESCRIPTION//// --- -----------------------------------------------------------------------// -FHDR-------------------------------------------------------------------//(11)使用适当的注释来解释所有的进程、函数、端口定义、信号含义、变量含义或信号组、变量组的意义等。

verilog书写规范

verilog书写规范

verilog书写规范海思⾼校合作——QA培训资料⼀、RTL CODE 规范1.标准的⽂件头在每⼀个版块的开头⼀定要使⽤统⼀的⽂件头,其中包括作者名,模块名,创建⽇期,概要,更改记录,版权等必要信息。

统⼀使⽤以下的⽂件头:// **************************************************************// COPYRIGHT(c)2005, Hislicon Technologies Co, Ltd// All rights reserved.//// IP LIB INDEX : IP lib index just sa UTOPIA_B// IP Name : the top module_name of this ip, usually, is same// as the small ip classified name just as UTOPIA// File name : file_name of the file just as “tx_fifo.v”// Module name : module_name of this file just as “TX_FIFO”// Full name : complete Emglish nme of this abbreviated//// Author : Athor/ID// Email : Author?s email// Data :// Version : V 1.0////Abstract :// Called by : Father Module//// Modification history// ------------------------------------------------------------------------------------------------------// //// $Log$//// *********************************************************************2. 标准的module 格式(module 整体结构)对于模块的书写采⽤统⼀的格式便于项⽬内部成员的理解和维护,我们⽤批处理建⽴了⼀个MODULE模块,其内容解释如下:●端⼝定义按照输⼊,输出,双向的顺序:●模块名、模块例化名统⼀,例化名前加⼤写U_以区分(多次例化另加标识),三者关系:⽂件名:xxx .v (⼩写)模块名:XXX (⼤写)例化名:U_XXX (⼤写)IP 内部所有的模块名都要加IP名或者IP名简称作前缀,如USB_CTRL、USB_TX_FIFO。

VerilogHDL编码规范

VerilogHDL编码规范

VerilogHDL编码规范1 目的为了FPGA、芯片IP核开发设计和验证人员之间更好地进行交流,提高代码的可读性,可维护性,特制定本规范,作为程序编写的指导文件。

本规范包括强制性规范和推荐性规范。

2 适用范围FPGA、芯片IP核逻辑设计和仿真验证。

编程语言采用Verilog语言。

3 相关规定1.本规范内容为逻辑设计岗位、仿真验证岗位员工必备基础知识,新员工入职时必须通过参加相关培训掌握本规范。

2.本规范的掌握、执行情况是新员工转正考核的重要内容。

在新员工见习阶段,其内部导师每月须抽查代码并将审核结果填入代码审查表。

3.项目经理应不定期抽查项目成员的代码,并将编程规范执行情况填入代码审查表作为项目成员考核依据。

4.本规范为内部职称晋升考试内容。

1目录1. 严格级别定义 (4)2. 工程规则 (5)2.1. 工程规则表 (5)2.2. 工程规则详细说明 (5)3. 命名规则 (6)3.1. 命名规则表 (6)3.2. 命名规则详细说明 (6)4. 文件头规则 (10)4.1. 文件头规则表 (10)4.2. 文件头示例 (10)4.3. 结构头示例 (12)5. 注释规则 (14)5.1. 注释规则表 (14)5.2. 注释规则详细说明 (14)6. 编码规则 (16)6.1. 编码规则表 (16)6.2. 编码规则详细说明 (16)7. 综合规则 (23)7.1. 综合规则表 (23)7.2. 综合规则详细说明 (23)8. 静态时序分析规则 (27)8.1. 静态时序分析规则表 (27)8.2. 静态时序分析规则详细说明 (27)9. 仿真规则 (31)9.1. 仿真规则表 (31)9.2. 仿真规则详细说明 (31)10. 设计风格规则 (34)210.1. 设计风格规则表 (34)10.2. 设计风格规则详细说明 (34)11. 重用化设计 (38)11.1. 层次设计和模块划分 (38)11.2. 参数化 (40)12. 常用缩写表 (42)31.严格级别定义⏹Mandatory 1(M1)——必须遵守。

VERILOG语言编写规范

VERILOG语言编写规范

VERILOG语言编写规范1 目的本规范的目的是提高书写代码的可读性可修改性可重用性,优化代码综合和仿真结果,指导设计工程师使用VerilogHDL规范代码和优化电路,规范化公司的ASIC设计输入从而做到1. 逻辑功能正确2.可快速仿真3. 综合结果最优如果是hardware model)4. 可读性较好。

2 范围本规范涉及Verilog HDL编码风格,编码中应注意的问题, Testbench的编码等。

本规范适用于Verilog model的任何一级( RTL behavioral, gate_level),也适用于出于仿真,综合或二者结合的目的而设计的模块。

3 定义Verilog HDL : Verilog 硬件描述语言FSM :有限状态机伪路径:静态时序分析( STA)认为是时序失败,而设计者认为是正确的路径4 引用标准和参考资料下列标准包含的条文通过在本标准中引用而构成本标准的条文在标准出版时所示版本均为有效所有标准都会被修订使用本标准的各方应探讨使用下列标准最新版本的可能性Actel HDLCoding Style GuiderSun MicrosystemsRevisionVerilogStyle and Coding Guidelines5 规范内容Verilog 编码风格本章节中提到的Verilog编码规则和建议适应于 Verilog model的任何一级( RTL behavioral,gate_level) 也适用于出于仿真,综合或二者结合的目的而设计的模块。

命名规范选择有意义的信号和变量名,对设计是十分重要的。

命名包含信号或变量诸如出处,有效状态等基本含义下面给出一些命名的规则。

1. 用有意义而有效的名字有效的命名有时并不是要求将功能描述出来如For ( I = 0; I < 1024; I = I + 1 )Mem[I]<= #1 32’b0;For 语句中的循环指针I 就没必要用loop_index作为指针名。

Verilog HDL编程规范

Verilog HDL编程规范

注意: 顶层文件名为:“模块名_top(_文件类型)”; 仿真测试的文件名字与被测试的模块名字一致,并且后面加上后缀 “_tb”,即 “模 块名(_top)_tb(_文件类型)”;
3、 每个设计的源文件,其开头部分应包含如下注释内容: 1) 年份及公司名称; 2) 3) 4) 5) 作者; 文件名; 所属项目; 顶层模块;
每行只有一个端口定义或说明,并加注释,注释放在同一行; 例如: module Prescaler ( core_32m_clk, system_clock, div16_clk, div16_clk_b,
reset_b, scan_mode_test ); input core_32m_clk; input system_clk; output div16_; output div16_clk_b; // 32 MHz clock // system clock // input clock divided by 16 // input clock divided by 16 and inverted
6) 模块名称及其描述; 7) 修改纪录; 例如: 文件头部分: (英文) ///////////////////////////////////////////////////////////////// // Copyright (c) 2004 Freescale Semiconductor, Inc. All rights reserved // Freescale Confidential Proprietary // ----------------------------------------------------------------// FILE NAME : // DEPARTMENT : // AUTHOR : // AUTHOR’S EMAIL : //

VerilogHDL代码书写规范

VerilogHDL代码书写规范

Revision History ﹕99-08-01
Revision 1.0 Email ﹕ M@ Company ﹕ swip Technology .Inc
Copyright(c) 1999, swip Technology Inc, All right reserved
\*
y 用连贯的缩写
1
长的名字对书写和记忆会带来不便 甚至带来错误 采用缩写时应注意同一信号在模 块中的一致性 缩写的例子如下
Addr address Pntr pointer Clk clock Rst reset
y 用最右边的字符下划线表示低电平有效 高电平有效的信号不得以下划线表示 短暂
的引擎信号建议采用高有效 如 Rst_ Trdy_, Irdy_ Idsel.
Sun Microsystems Revision 1.0
5 规范内容 5.1 Verilog 编码风格
本章节中提到的Verilog编码规则和建议适应于 Verilog model的任何一级 RTL behavioral, gate_level) 也适用于出于仿真 综合或二者结合的目的而设计的模块
5.1.1 命名的习惯
FSM 有限状态机
伪路径 静态时序分析 STA 认为是时序失败 而设计者认为是正确的路径
4 引用标准和参考资料
下列标准包含的条文 通过在本标准中引用而构成本标准的条文 在标准出版时 所示版本
均为有效 所有标准都会被修订 使用本标准的各方应探讨 使用下列标准最新版本的可能性
Verilog Style and Coding Guidelines Actel HDL Coding Style Guider
Input [199:0] Vector1, Vector2;

Verilog编码规范

Verilog编码规范

Verilog编码规范(仅供内部使用)拟制 :xxx日期:xxx审查 :审查者日期:yyyy-mm-dd 同意 :同意者日期:yyyy-mm-dd版权全部侵权必究订正记录订正日期订正版本描绘订正者目录基来源则:简单,一致,可重用。

简单指尽量使用简单的语句,尽量使用简单的设计,尽量使用简单的时钟,尽量使用简单的复位。

一致指尽量保持代码风格一致,尽量保持命名一致。

可重用指有成熟的IP 尽量使用IP ,设计的代码要尽量可重用。

1命名规范给信号命名就像给孩子取名字相同,有差异,有本源,有深度,还有一点,要简单,别冗长。

有差异指取名字不要相同,若是大家只有一个手机号码,那这个号码还可以有什么用途有本源指取名字要能象姓氏相同,让人一看就直到是张家的后辈而不是李家的。

有深度就是取名字要有涵义,张一 , 张二 , 张三固然也是名字,可是请考虑一下被取名字人的感觉。

简单点,几十个字母长的名字,打字的和看字的都累。

大小写规则:只有parameter , `define和module名称才能享受大写。

Module 名应与文件名保持一致(文件名是小写),若是不想在设计后边碰到麻烦的话。

不要试试使用任何保存字,由于他们已经被保存了。

不要重复使用相同的名字去命名不一样的数据。

(建议)对module 名加” _LVx”的后缀,加强module 名称的构造层次含义如:设计顶层为TOP LEVEL,即 LEVEL1,命名为QTRxxxx_LV1;时钟模块, IO_PAD, CORE,为 LEVEL2,命名为CLK_PROC_LV2等等;CORE内子模块为LEVEL3,而后以此类推。

关于来自同一驱动源的全部时钟信号使用相同的名字。

关于低电平有效的信号,应当以_n 结尾。

模块间相连端口名称要一致。

(建议)使用下表所列的命名缩写方式。

全称名称clock Clkreset rstclear clraddress addrdata_in dindata_out doutinterrupt request intread enable rdenwrite enable wrencount cntrequest req全称名称control ctrlarbiter arbpointer ptrsegment segmemory memregister reg(建议)使用以下后缀命名方式全称增添后缀active low_nenable_enselect_selflag_flgdelay_dly信号命名的两个词之间用下划线间隔,如ram_addr ,cnt_ctrl等等信号命名尽量不要使用孤立的、小写的英文字母L2代码编写规范2.1 版面语句独立成行,增添可读性和可保护性。

Verilog代码书写规范

Verilog代码书写规范

Verilog代码书写规范2.5.1 信号命名规则信号命名规则在团队开发中占据着重要地位,统一、有序的命名能大幅减少设计人员之间的冗余工作,还可便于团队成员代码的查错和验证。

比较著名的信号命名规则当推Microsoft 公司的“匈牙利”法,该命名规则的主要思想是“在变量和函数名中加入前缀以增进人们对程序的理解”。

例如所有的字符变量均以ch为前缀,若是常数变量则追加前缀c。

信号命名的整体要求为:命名字符具有一定的意义,直白易懂,且项目命名规则唯一。

对于HDL设计,设计人员还需要注意以下命名规则。

1.系统级信号的命名系统级信号指复位信号,置位信号,时钟信号等需要输送到各个模块的全局信号。

系统信号以字符串sys或syn开头;时钟信号以clk开头,并在后面添加相应的频率值;复位信号一般以rst或reset开头;置位信号为st或set开头。

典型的信号命名方式如下所示:wire [7:0] sys_dout, sys_din;wire clk_32p768MHz;wire reset;wire st_counter;2.低电平有效的信号命名低电平有效的信号后一律加下划线和字母n。

如:wire SysRst_n;wire FifoFull_n;3.过锁存器锁存后的信号经过锁存器锁存后的信号,后加下划线和字母r,与锁存前的信号区别。

如:信号CpuRamRd信号,经锁存后应命名为CpuRamRd_r。

低电平有效的信号经过锁存器锁存后,其命名应在_n后加r。

如:CpuRamRd_n信号,经锁存后应命名为CpuRamRd_nr多级锁存的信号,可多加r以标明。

如:CpuRamRd信号,经两级触发器锁存后,应命名为CpuRamRd_rr。

2.5.2 模块命名规则HDL语言的模块类似于C语言中的函数,可采用C语言函数的大多数规则。

模块的命名应该尽量用英文表达出其完成的功能。

遵循动宾结构的命名法则,函数名中动词在前,并在命名前加入函数的前缀,函数名的长度一般不少于2个字母。

verilog编程规范

verilog编程规范

用于命名的字符集为:字母A ~Z 和a ~z,数字0~9以及下划线组成。

例如:data_busdata_widthclk_48M48M_clkdata__busdata*bus 命名字符集名称不能以数字开头数据总线48M 时钟信号数据位宽不能连续使用下划线不能包含非字母符号*使用有意义的名字,以利于望文生义参数(parameter )、常量(constant )和块标号(block label )名必须一致采用大写;而信号,变量和结构名(construct )以及实例标号(instance )必须一致采用小写。

有利于在仿真时,区分不变和变化的数据。

大小写规则module display_led(clk_48M,//时钟ledout//LED 输出);input clk_48M;//48M 系统时钟output [7:0] ledout;//LED 输出控制reg [22:0] count;//计数器reg [7:0] led_reg;//LED 输出缓存区wire led_clk;//LED 显示时钟控制parameter COUNTER=100;assign led_clk = count[22]; //LED 显示时间控制模块标号小写变量小写常量大写在不区分大小写的情况下,名字必须唯一。

例如,名字state和State不能同时出现在同一设计中,这是因为有的EDA工具不区分大小写。

名字必须唯一module casestatement (a,State,state,dout);input a;input State;input [2:0] state;output dout;reg dout; parameter STATE = 3'bx11; always @(a or b or state)case(state & State)3'b001: dout=a&b;3'b010: dout=a|b;endcaseendmodule 输入信号参数输入信号不同类型的信号命名习惯如果一个名字由多个字组成,则使用下划线连接,用以增加名字的可读性。

Verilog语言编程规范

Verilog语言编程规范

Verilog语⾔编程规范前⾔.................................................................... IV 1范围 (1)2术语 (1)3代码标准 (1)3.1命名规范 (1)3.1.1⽂件命名 (1)3.1.2HDL代码命名总则 (2)3.2注释 (4)3.2.1⽂件头 (4)3.2.2其它注释 (5)3.3编程风格 (7)3.3.1编写代码格式要整齐 (7)3.3.2使⽤⼆到四个空格符缩排 (7)3.3.3⼀⾏⼀条Verilog语句 (7)3.3.4⼀⾏⼀个端⼝声明 (7)3.3.5在定义端⼝时,按照端⼝类型或端⼝功能定义端⼝顺序。

(8) 3.3.6保持端⼝顺序⼀致。

(8)3.3.7声明内部net (8)3.3.8在⼀个段内声明所有内部net (8)3.3.9每⾏长度不超过80字符....................... 错误!未定义书签。

3.3.10代码流中不同结构之间⽤⼀空⾏隔开 (8)3.4模块划分和重⽤ (10)3.4.1不能访问模块外部的net和variable (10)3.4.2不使⽤`include编译指令 (10)3.4.3建议模块的端⼝信号尽可能少。

(10)3.4.4时钟产⽣电路单独构成⼀个模块 (10)3.4.5划分时钟域 (10)3.4.6物理和逻辑边界的匹配 (10)3.4.7特定应⽤代码要单独划分出来 (10)3.4.8关键时序逻辑划分 (10)3.4.9数据流逻辑划分 (11)3.4.10异步逻辑划分 (11)3.4.11状态机划分 (11)3.4.12控制逻辑和存储器划分 (11)3.5逻辑设计经验 (11)3.5.1时钟域要尽可能少,所⽤时钟尽可能加全局BUFF (11) 3.5.2异步接⼝信号同步化 (11)3.5.3避免寄存器的数据与时钟异步 (11)3.5.4使⽤⽆⽑刺的门控时钟使能信号 (11)3.5.5直接作⽤信号⽆⽑刺 (11)3.5.6初始化控制存储元件 (12)3.5.7使⽤同步设计 (12)3.5.8避免组合反馈环 (12)3.6常⽤编程技巧 (12)3.6.1条件表达式的值必须是⼀个单bit值 (12)3.6.2总线位顺序按⾼到低保持⼀致 (12)3.6.3不要给信号赋x值 (12)3.6.4寄存器变量只能在⼀个always语句中赋值 (12)3.6.5对常量使⽤参数⽽不使⽤⽂本宏 (12)3.6.6不能重复定义参数 (12)3.6.7不能重复定义⽂本宏 (12)3.6.8保持常量之间的联系 (12)3.6.9状态编码的参数使⽤ (13)3.6.10`define、`undef配合使⽤ (13)3.6.11⽤基地址+地址偏移量⽣成地址 (13)3.6.12使⽤⽂本宏表⽰寄存器字段位置和值 (13)3.6.13`ifdef的嵌套限制在三层以内 (13)3.6.14操作数的位宽必须匹配 (13)3.6.15模块调⽤时端⼝要显式引⽤ (14)3.6.16⽮量端⼝和net/variable声明的位宽要匹配 (14)3.6.17避免inout类型的端⼝ (14)3.6.18在复杂的表达式中使⽤括号 (14)3.7常⽤综合标准 (14)3.7.1always 的敏感列表要完整 (14)3.7.2⼀个 always 的敏感列表中只能有⼀个时钟 (14)3.7.3只使⽤可综合的结构 (15)3.7.4组合逻辑的条件需完备 (15)3.7.5循环结构中禁⽤disable语句 (15)3.7.6避免⽆界循环 (15)3.7.7端⼝连接禁⽤表达式 (15)3.7.8禁⽤Verilog primitive (15)3.7.9边沿敏感结构中使⽤⾮阻塞赋值(<=) (15)3.7.10Latch使⽤⾮阻塞赋值 (15)3.7.11模块闲置的输⼊端不要悬空 (15)3.7.12连接模块闲置的输出端 (16)3.7.13函数中不要使⽤锁存器 (16)3.7.14禁⽤casex (16)3.7.15多周期路径的信号使⽤单周期使能信号 (16)3.7.16三态元件建模 (16)3.7.17避免顶层胶合逻辑 (16)3.7.18在case语句中使⽤default赋值语句 (16)3.7.19full_case综合命令的使⽤ (16)附录1 HDL编译器不⽀持的Verilog结构 (18)附录2 Verilog和VHDL关键词列表 (19)前⾔编写本标准的⽬的是为了统⼀部门内部FPGA\EPLD设计⽤verilog语⾔编程风格,提⾼Verilog设计源代码的可读性、可靠性和可重⽤性,减少维护成本,最终提⾼产品⽣产⼒;并且以此作为代码⾛查的标准。

verilog可综合编程规则

verilog可综合编程规则

verilog可综合编程规则1. 变量声明和赋值必须在同一行完成,不能分开写。

2. 变量的赋值必须在always块中完成,不能在过程块中赋值。

3. 所有的变量必须在模块的开始部分进行声明。

4. 模块的输入和输出必须在模块的开始部分进行声明,并且必须以`input`或`output`关键字开头。

5. 输入和输出端口的方向必须与模块定义中的方向相匹配。

6. 所有的变量和信号必须在使用之前进行初始化。

7. 不允许使用`initial`块进行变量的赋值,只能使用`always`块。

8. 所有的组合逻辑必须在`always_comb`块中进行描述。

9. 必须使用非阻塞赋值(`<=`)来描述时序逻辑,不能使用阻塞赋值(`=`)。

10. 在时序逻辑中,变量的赋值必须使用非阻塞赋值,以确保时序逻辑的正确执行。

11. 对于多位信号,必须使用`[ ]`来表示信号的位宽。

12. 在always块中,必须使用敏感列表来指定时序逻辑的触发条件。

13. 在always块中,必须使用`posedge`或`negedge`关键字来指定时钟信号的边沿。

14. 不允许在always块中使用`if`语句进行分支控制,只能使用`case`或`if-else`语句。

15. 在always块中,不允许出现死循环或无限循环。

16. 在模块的输出端口中,必须使用`assign`关键字进行赋值。

17. 在模块之间进行连接时,必须使用连线操作符`wire`或`reg`来声明信号。

18. 不允许在模块中使用的信号在模块之间进行连接。

19. 必须使用`endmodule`关键字来结束模块的定义。

20. 必须使用`module`关键字来定义模块。

这些规则是基本的Verilog综合编程规则,遵循这些规则可以确保Verilog代码的正确性和可综合性。

Verilog 代码编写规范

Verilog 代码编写规范

一、信号命名规则信号命名规则在团队开发中占据着重要地位,统一、有序的命名能大幅减少设计人员之间的冗余工作,还可便于团队成员代码的查错和验证。

比较著名的信号命名规则当推Microsoft公司的“匈牙利”法,该命名规则的主要思想是“在变量和函数名中加入前缀以增进人们对程序的理解”。

例如所有的字符变量均以ch 为前缀,若是常数变量则追加前缀c。

信号命名的整体要求为:命名字符具有一定的意义,直白易懂,且项目命名规则唯一。

对于HDL设计,设计人员还需要注意以下命名规则。

1.系统级信号的命名系统级信号指复位信号,置位信号,时钟信号等需要输送到各个模块的全局信号。

系统信号以字符串sys或syn开头;时钟信号以clk开头,并在后面添加相应的频率值;复位信号一般以rst或reset开头;置位信号为st或set开头。

典型的信号命名方式如下所示:wire [7:0] sys_dout, sys_din;wire clk_32p768MHz;wire reset;wire st_counter;2.低电平有效的信号命名低电平有效的信号后一律加下划线和字母n。

如:wire SysRst_n;wire FifoFull_n;3.过锁存器锁存后的信号经过锁存器锁存后的信号,后加下划线和字母r,与锁存前的信号区别。

如:信号CpuRamRd信号,经锁存后应命名为CpuRamRd_r。

低电平有效的信号经过锁存器锁存后,其命名应在_n后加r。

如:CpuRamRd_n信号,经锁存后应命名为CpuRamRd_nr多级锁存的信号,可多加r以标明。

如:CpuRamRd信号,经两级触发器锁存后,应命名为CpuRamRd_rr。

二、模块命名规则HDL语言的模块类似于C语言中的函数,可采用C语言函数的大多数规则。

模块的命名应该尽量用英文表达出其完成的功能。

遵循动宾结构的命名法则,函数名中动词在前,并在命名前加入函数的前缀,函数名的长度一般不少于2个字母。

verilog代码书写规范

verilog代码书写规范

8、 主程序(Main program) always 块中要采用 TAB 缩进的格式,并且 begin-end 不要省略。 主程序中的 if-else
主程序中的 case
9、 模块的例化(Module instance) 模块的例化要采用端口名关联方式,每行只写一个端口,同时也需要对端口进行注释, 格式如下:
Verilog 代码书写规范
写在前面 代码书写规范在团队开发中占据着重要地位, 统一、 有序的命名能大幅减少设计人员之间的 冗余工作, 还可便于团队成员对代码的查错和验证。 但是不要使书写的规范阻碍代码的书写 效率,有些规范并不是硬性的规定,比较的灵活,最重要的是能达到代码书写效率与代码规 范性的平衡。 ——电子系 FPGA 小组 2010 年 11 月 25 日 于北京交通大学 1、 基本原则 顶层模块不含任何逻辑,只包括对底层模块实例化的语句。每一个 Verilog 文件只含有 一个模块,每个模块可含有多个过程块(always、initial 等) ,每一个 always 块只对 一个信号进行赋值。 2、 命名规则 模块名、 信号名应该尽量做到可以表达出其完整的功能, 使程序的阅读者可以见名知意, 名称一般不少于两个字符,而大小写在此不做规定。下面为一些利用标准命名方法定义 的模块名和信号名: module ALU; // Arithmatic Logical Unit module DMI ; //Data Memory Interface module DEC; //Decoder wire CPUMMU_WrReq;// CPU 发送给 MMU 的写请求信号 一些标准形式的缩写: clockclk clearclr resetrst writewr readrd Frequency->Freq Variable->Var setst 在一个工程中如果存在多个不同频率的时钟信号,可在 clk 后面添加相应的频率值以示 区分,例如:wire clk_768MHz;//定义一个 768MHz 的时钟信号 低电平有效的信号命名: 低电平有效的信号后一律加下划线和字母 n,假如未加则视为高有效。 wire rst_n;//低有效的复位信号 wire FifoFull_n;//低有效的 fifo 满标志 3、 注释 勤写注释是好习惯,但这并不意味着每句语句都要写,视情况而定。注释可以分为模块 文件的注释、程序各部分的注释、单条语句的注释。注释全部用英文。关键的语句、不

verilog编写规范_2003

verilog编写规范_2003

在模块中增加注释 对信号参量、引脚、模块、函数及进程等加以说 明便于阅读与维护 Module 名要用大写标示且应与文件名保持一致 如Module DFF_ASYNC_RST( Reset, Clk, Data, Qout );

模块输出寄存器化 对所有模块的输出加以寄存如图1 使得输出的 驱动强度和输入的延迟可以预 测从而使得模块的综合过程更简单

Modules 顶层模块应只是内部模块间的互连 尽量避免再做逻辑,如不能再出现对reg变量 赋值等 这样做的目的是为了更有效的综合






/* ==============================*\ Filename ﹕ xxxxx.v Author ﹕ Description ﹕ Called by ﹕Top module Revision History ﹕ Revision 1.0 Email ﹕ Company ﹕ Copyright(c) reserved \*================================== */
避免使用LATCH
不完整的if和case语句导致不必要的latch的产生 下面的语句中DataOut会被综合成 锁存器如果不希望在电路中使用锁存器它就是错 误 always @(Cond) begin if (Cond) DataOut <= DataIn end

避免使用门控时钟


等效于
for ( i=0; i<=3; i = i + 1) c[i] = a[i] & b[i]; 可以选择简洁的写法.
避免点到点的例外

verilog的一些固定写法

verilog的一些固定写法

verilog的一些固定写法Verilog是一种硬件描述语言,用于描述数字电路。

在Verilog 中有一些固定的写法和规范,以下是一些常见的固定写法:1. 模块声明:每个Verilog文件通常以模块声明开始,例如:module module_name (input input1, input input2, output output1);input和output关键字用于声明端口,module_name是模块的名称。

2. 端口声明:在模块声明中,端口通常按照输入和输出分别声明,例如:input input1, input input2;output output1;3. 数据类型声明:Verilog中常见的数据类型包括wire、reg、integer等,这些数据类型用于声明变量的类型和存储方式。

4. 时钟声明:在数字电路中,时钟是非常重要的,通常会使用时钟信号来同步各个部分。

时钟声明通常如下:input wire clk;5. 逻辑运算:在Verilog中,常见的逻辑运算包括与(&)、或(|)、非(!)、异或(^)等,这些逻辑运算符可以用于描述数字电路中的逻辑操作。

6. 连接符号:在Verilog中,连接符号用于连接不同的信号线,常见的连接符号包括逗号(,)和连接运算符({})。

7. 时序建模:Verilog中可以使用一些关键字来描述时序行为,比如always、posedge、negedge等,这些关键字用于描述触发器的行为。

以上是一些Verilog中的固定写法和常见规范,遵循这些规范可以使Verilog代码更加清晰易懂,也更容易被其他人理解和维护。

希望以上回答能够满足你的需求。

verilog代码编写注意事项

verilog代码编写注意事项

verilog代码编写注意事项Verilog 代码编写注意事项1、for 循环:虽然for 是可以综合的,但是在写代码时一般不用,因为会占用很多硬件资源,而且一般我们设计的是时序逻辑设计,用到for循环的地方很少。

2、代码风格:代码风格不是一层不变的,要具体逻辑具体分析。

对于同一个逻辑问题,if…else 和case 只不过是形式上的不同,综合工具优化功能足够强的话,就会看穿这个形式上的不同,实现逻辑上的相同。

3、inout 端口的使用:当inout 作为输入端口使用时,一定要把它置为高阻态,当inout端口作为输出口使用时,直接对输出信号赋值即可。

例如:inout wire io_data ; //inout 端口。

input reg out_data ; //需要向io_data 输出的数据。

input reg io_link ; //inout 口方向控制。

Assign io_data = io_lin k ? out_data : 1’bz ; /*这步是关键,inout作为输入端口使用时,io_link=0 , 必须把io_data 置为高阻态。

当inout 端口作为输出口使用时,将io_link=1 ,将out_data赋值给io_data就可以了。

*/4、注释:代码注释时一个最大的共同错误是,注释描述的只是代码本身所实现的功能。

正确的注释写法是,应该假定读者是一个有经验的工程师,他熟悉verilog语言本身,但对于该工程并不熟悉。

注释的最高境界是:抛开源代码,单从注释就明白设计者所要实现的功能。

例如:错误的注释:addr <= addr + 1’b1 ; //addr自增1.这句注释不会给读者提供任何有用的信息。

正确的注释,(假定该工程是FPGA与MCU通信)addr<= addr + 1’b1 ; //在MCU写入一个字节数据后,addr自增1 ,以供MCU读取下一个字节数据。

相关主题
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

Verilog程序编写规范
在满足功能和性能目标的前提下,增强代码的可读性、可移植性,首要的工作是在项目开发之前为整个设计团队建立一个命名约定和缩略语清单,以文档的形式记录下来,并要求每位设计人员在代码编写过程中都要严格遵守。

良好代码编写风格的通则概括如下:
一、命名规则
(1)对所有的信号名、变量名和端口名都用小写,这样做是为了和业界的习惯保持一致;对参数名、常量名和用户定义的类型用大写;
(2)使用有意义的信号名、端口名、函数名和参数名;
(3)信号名长度不超过20个字符;
(4)对于时钟信号使用clk 作为信号名,如果设计中存在多个时钟,使用clk作为时钟信号的前缀;
(5)对来自同一驱动源的信号在不同的子模块中采用相同的名字,这要求在芯片总体设计时就定义好顶层子模块间连线的名字,端口和连接端口的信号尽可能采用相同的名字;
(6)对于低电平有效的信号,应该以一个下划线跟一个小写字母b 或n 表示。

注意在同一个设计中要使用同一个小写字母表示低电平有效;
(7)对于复位信号使用reset 作为信号名,如果复位信号是低电平有效,建议使用reset_n;
(8)当描述多比特总线时,使用一致的定义顺序,采用从高到低的定义顺序。

对于verilog 建议采用bus_signal[x:0]的表示;
(9)尽量遵循业界已经习惯的一些约定。

如*_r 表示寄存器输出,*_a 表示异步信号,*_pn 表示多周期路径第n 个周期使用的信号,*_nxt 表示锁存前的信号,*_z 表示三态信号等;
二、文档结构
(10)在源文件、批处理文件的开始应该包含一个文件头、文件头一般包含的内容如下例所示:文件名,作者,模块的实现功能概述和关键特性描述,文件创建和修改的记录,包括修改时间,修改的内容等;
// +FHDR-------------------------------------------------------------------
// Copyright @ 2008, State Key Laboratory of Advanced Optical Communication Systems & Networks, PKU // ----- -----------------------------------------------------------------------
// FILE NAME:
// AUTHOR:
// DATA OF CREATION:
// -----------------------------------------------------------------------------
// PURPOSE:
//
// --- -----------------------------------------------------------------------
// RELEASE HISTORY:
// DATA AUTHOR DESCRIPTION
//
// --- -----------------------------------------------------------------------
// -FHDR-------------------------------------------------------------------
//
(11)使用适当的注释来解释所有的进程、函数、端口定义、信号含义、变量含义或信号组、变量组的意义等。

注释应该放在它所注释的代码附近,要求简明扼要,只要足够说明设计意图即可,避免过于复杂;
(12)每一行语句独立成行。

尽管VHDL 和Verilog 都允许一行可以写多个语句,当时每个语句独立成行可以增加可读性和可维护性。

同时保持每行小于或等于72 个字符,这样做都是为了提高代码得可读性;
(13)建议采用缩进提高续行和嵌套语句得可读性。

缩进一般采用两个空格,如果空格太多则在深层嵌套时限制行长。

同时缩进避免使用TAB 键,这样可以避免不同机器TAB 键得设置不同限制代码得可移植能力;
(14)在RTL 源码的设计中任何元素包括端口、信号、变量、函数、任务、模块等的命名都不能取Verilog 和VHDL 语言的关键字;
(15)在进行模块的端口申明时,每行只申明一个端口,并建议采用以下顺序:输入信号的clk、rst、enables other control signals、data and address signals。

然后再申明输出信号的clk、rst、enalbes other control signals、data signals;
三、语法约束
(16)在例化模块时,使用名称映射而不要采用位置映射,这样可以提高代码的可读性和方便debug 连线错误;
(17)如果同一段代码需要重复多次,尽可能使用函数或者模块实例化,如果有可能,可以将函数通用化,以使得它可以复用。

注意,内部函数的定义一般要添加注释,这样可以提高代码的可读性;
(18)尽可能使用循环语句和寄存器组来提高源代码的可读性,这样可以有效地减少代码行数;//注意可综合的编码风格,一般使用for循环,并且循环次数必须是静态可确定的。

(19)对一些重要的进程语句块定义一个有意义的标号,这样有助于调试。

注意标号名不要与信号名、变量名重复;
(20)代码编写时的数据类型使用Verilog2001定义的标准类型,注意不要使用不可综合的数据类型;
(21)在设计中不要直接使用数字,作为例外,可以使用0 和1。

建议采用参数定义代替直接的数字。

同时,在定义常量时,如果一个常量依赖于另一个常量,建议在定义该常量时用表达式表示出这种关系;
(22)不要在源代码中使用嵌入式的dc_shell 综合命令。

这是因为其他的综合工具并不认得这些隐含命令,从而导致错误的或较差的综合结果。

即使使用Design Compiler,当综合策略改变时,嵌入式的综合命令也不如放到批处理综合文件中易于维护。

这个规则有一个例外的综合命令,即编译开关的打开和关闭可以嵌入到代码中;
(23)在设计中避免实例化具体的门级电路。

门级电路可读性差,且难于理解和维护,如果使用特定工艺的门电路,设计将变得不可移植。

如果必须实例化门电路,我们建议采用独立于工艺库的门电路,如SYNOPSYS 公司提供的GTECH 库包含了高质量的常用的门级电路;//代码在RTL级描述,但如需使用结构级描述,可以直接实例化Verilog中的primitive(基本单元),该primitive独立于特定
工艺库中的基本单元。

(24)避免冗长的逻辑和子表达式;
(25)避免采用内部三态电路,建议用多路选择电路代替内部三态电路。

补充:1)模块硬件规模划分尽可能接近;
2)模块以寄存器锁存输出,即“组合逻辑+时序逻辑”的基本结构;
3)采用层次化的结构搭建系统;。

相关文档
最新文档