数字频率计的设计

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

一:摘要

在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得更为重要。测量频率的方法有多种,其中电子计数器测量频率具有精度高、使用方便、测量迅速,以及便于实现测量过程自动化等优点,是频率测量的重要手段之一。电子计数器测频有两种方式:一是直接测频法,即在一定闸门时间内测量被测信号的脉冲个数;二是间接测频法。频率计主要由四个部分构成:时基(T)电路、输入电路、计数显示电路以及控制电路。在一个测量周期过程中,被测周期信号在输入电路中经过放大、整形、微分操作之后形成特定周期的窄脉冲,送到主门的一个输入端。主门的另外一个输入端为时基电路产生电路产生的闸门脉冲。在闸门脉冲开启主门的期间,特定周期的窄脉冲才能通过主门,从而进入计数器进行计数,计数器的显示电路则用来显示被测信号的频率值,内部控制电路则用来完成各种测量功能之间的切换并实现测量设置。

二:关键词

周期;频率;数码管,锁存器,计数器,中规模电路,定时器

三:概述

EDA技术是以大规模可编程逻辑器件为设计载体,以硬件语言为系统逻辑描述的主要方式,以计算机、大规模可编程逻辑器件的开发软件及实验开发系统为设计工具,通过有关的开发软件,自动完成用软件设计的电子系统到硬件系统的设计,最终形成集成电子系统或专用集成芯片的一门新技术。其设计的灵活性使得EDA技术得以快速发展和广泛应用。以QUARTUSII软件为设计平台,采用VHDL语言实现数字频率计的整体设计。

伴随着集成电路(IC)技术的发展,电子设计自动化(EDA)逐渐成为重要的设计手段,已经广泛应用于模拟与数字电路系统等许多领域。EDA的一个重要特征就是使用硬件描述语言(HDL)来完成的设计文件,VHDL语言是经IEEE确认的标准硬件语言,在电子设计领域受到了广泛的接受。

四:软件介绍

在本设计中使用的软件有Protel99SE、Quartus II 4.0

4.1 .1Protel的简介

Protel99 SE是Protel公司近几年来致力于Windows平台开发的拳头产品。它能实现电学概念设计到输出物理生产数据,以及这之间的所有分析、验证和设计数据管理。今天的Protel99 SE软件已不是单纯的PCB(印刷电路板)设计工具,而是一个系统工具。它覆盖了以PCB为核心的全部物理设计。

4.1.2 Protel99 SE的划分

Protel99 SE共分5个模块,分别是原理图设计、PCB设计(包含信号完整性分析)、自动布线器、原理图混合信号仿真、PLD设计。

4.1.3 Protel99 SE的功能

Protel99 SE软件具有其它EDA工具软件(例:multisim)的功能。这些功能是:(1)原理布图(2)PCB自动或人工布线(3)SPICE电路仿真

革命性的特点:

(1)互动的电路仿真 :用户甚至可以实时采用诸如RAM,ROM,键盘,马达,LED,LCD,AD/DA,部分SPI器件,部分IIC器件。

(2)仿真处理器及其外围电路 :可以仿真51系列、AVR、PIC、ARM、等常用主流单片机。还可以直接在基于原理图的虚拟原型

4.2.1Quartus II

Quartus II 是Altera公司的综合性PLD/FPGA开发软件,支持原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程Quartus II可以在XP、Linux以及Unix上使用,除了可以使用Tcl脚本完成设计流程外,提供了完善的用户图形界面设计方式。具有运行速度快,界面统一,功能集中,易学易用等特点。

功能

Quartus II提供了完全集成且与电路结构无关的开发包环境,具有数字逻辑设计的全部特性,包括:可利用原理图、结构框图、VerilogHDL、AHDL和VHDL完成电路描述,并将其保存为设计实体文件;

芯片(电路)平面布局连线编辑;

LogicLock增量设计方法,用户可建立并优化系统,然后添加对原始系统的性能影响较小或无影响的后续模块;功能强大的逻辑综合工具;完备的电路功能仿真与时序逻辑仿真工具;定时/时序分析与关键路径延时分析;可使用SignalTap II逻辑分析工具进行嵌入式的逻辑分析;支持软件源文件的添加和创建,并将它们链接起来生成编程文件;使用组合编译方式可一次完成整体设计流程;自动定位编译错误;高效的期间编程与验证工具;可读入标准的EDIF网表文件、VHDL网表文件和Verilog网表文

件;能生成第三方EDA软件使用的VHDL网表文件和Verilog网表文件。

五:电路组成

5.1 时基电路

5.2 放大整形电路

为保证测量精度,在整形电路的输入端加一前置放大器。对幅值较低的被测信号经放

大后再送入整形器整形。如图3.2.2为放大整形电路原理图。

此电路采用晶体管3DG100与74LS00等组成,其中3DG100为放大器,可对周期信号进行放大再传入整形器中对信号进行整形。

5.2.1 译码器模块

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_arith.all;

use ieee.std_logic_unsigned.all;

entity display is

port(data_in:in std_logic_vector(3 downto 0);

data_out:out std_logic_vector(0 to 3));

end entity display;

architecture one of display is

signal indata:std_logic_vector(3 downto 0);

begin

process(data_in)

begin

indata<=data_in;

case indata is

when"0000"=>data_out<="0000";

when"0001"=>data_out<="0001";

when"0010"=>data_out<="0010";

when"0011"=>data_out<="0011";

when"0100"=>data_out<="0100";

when"0101"=>data_out<="0101";

when"0110"=>data_out<="0110";

when"0111"=>data_out<="0111";

when"1000"=>data_out<="1000";

when"1001"=>data_out<="1001";

when others=>data_out<="1111";

end case;

end process; end one;

5.3 逻辑控制电路

逻辑控制电路的作用主要是控制主控门的开启和关闭,同时也控制整机逻辑关系。

本设计采用74LS123组成逻辑控制电路,先启动脉冲置成1,其余触发器置成0,然后时基电路传入脉冲,控制电路开始工作。被测信号通过闸门进入计数电路,于是计数器译码器同时工作,从而记下所测信号频率值。

当控制电路转为其他状态时,闸门关闭,计数器停止工作,数码管继续显示所测频率

相关文档
最新文档