组合逻辑电路习题和答案概要

合集下载
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

D3 D2 D1 D0
作业解答
( 2)
Y3 D1 D0 ( D3 D2 ) D1 D0 ( D3 D2 ) D3 D2 D1 D0 D3 D2 D1 D0 D3 D2 D1 D0 D3 D2 D1 D0 ( D1 D0 )(D3 D2 ) D3 D1 ( D2 D0 ) D3 D1 ( D2 D0 ) ( D1 D0 )(D3 D2 ) ( D3 D1 )(D2 D0 )
&
y0 y1 y2 y3 B A G
A B
作业解答
(4)Y4 ABC A B C
解:用三线-八线译码器:
Y4 ABC A B C m7 m0 m7 m0
y4
&
y0 y1 y2 y7 C BA G
A B C
作业解答
题3.16 用数据选择器74153实现下列逻辑函数。
作业解答
Y1 A B,Y2 A B C
作业解答
题3.13 用集成二进制译码器和与非门实现下列逻辑 函数。
(2)Y2 AB A B
解:可以用二线-四线译码器,也可以用三线-八 线译码器。用二线-四线译码器:
Y2 AB A B m2 m1 m2 m1
作业解答
y2
八选一数据选择器的输出函数表达式为:
Y A2 A1 A0 D0 A2 A1 A0 D1 A2 A1 A0 D2 A2 A1 A0 D3 A2 A1 A0 D4 A2 A1 A0 D5 A2 A1 A0 D6 A2 A1 A0 D7
作业解答
(2)Y2 ( 3,5,6,7 )
解: Y2 A BC AB C ABC ABC
四选一数据选择器的输出函数表达式为:
Y A1 A0 D0 A1 A0 D1 A1 A0 D2 A1 A0 D3
令 A1 A, A0 B

D0 0, D1 D2 C , D3 1
作业解答
作业解答
题3.18 用16×4位EPROM实现题3.16中函数,画 出连线图。
Y1 m( 1,2,4,7 )
Y1 m( 1,2,4,7 ) A B C A BC AB C ABC A B CD A B CD A BC D A BC D AB C D AB C D ABCD ABCD m2 m3 m4 m5 m8 m9 m14 m15
作业解答题319电话室需要对四种电话进行编码控制优先级别最高的是火警电话其次是急救电话第三是工作电话第四是生活电话试用与非门或者或非门设计该控制电路
作业解答
题3.1 写出如图所示电路输出信号表达式,说明 其功能。
Y1 A A B B A B ( A A B)(B A B) AB A B AB A B
功能:实现的是同或运算
作业解答
题3.2 写出如图所示电路输出信号表达式,说明 其功能。
S1 A B, C1 AB
Y1 S 2 S1 C , C2 S1C Y2 C1 C2
Y1 S2 S1 C A B C Y2 C1 C2 AB ( A B)C AB AC BC
比较得:
A2 S 2,A1 S1,A0 S 0; D0 1,D1 A B,D2 AB,D3 A B, D4 A B,D5 AB,D6 A B,D7 0
作业解答
题3.14 用二-十进制编码器、译码器、发光二极 管七段显示器,组成一个一位数码显示电路。 当0~9十个输入端中某一个接地时,显示相应 数码。选择合适的器件,画出连线图。
实现的是全加功能
作业解答
题3.5 用与非门设计能实现下列功能的组合电路。 (1)四变量表决电路——输出与多数变量的状态 一致。
BCD ABD ABC ACD BCD ABDABC ACD
Y BCD ABD ABC ACD
作业解答
题3.8 设计一个组合电路,其输入是四位二进制数 D=D3D2D1D0,要求能判断出下列三种情况: (1)D中没有1。 (2)D中有两个1。 (3)D中有奇数个1。 解:(1) Y D3 D2 D1 D0 D3 D2 D1 D0
作业解答
architecture behavioral of mux81 is begin process(s) begin if (s="000")then z<=c0; elsif(s="001")then z<=c1; elsif(s="010")then z<=c2; elsif(s="011")then z<=c3; elsif(s="100")then z<=c4; elsif(s="101")then z<=c5; elsif(s="110")then z<=c6; else z<=c7; end if; end process; end behavioral;
作业解答
题3.11 用八选一数据选择器和门电路设计一个多 功能运算电路。
作业解答
由真值表,得到:
Y S 2 S1 S0 1 S 2 S1S0 ( A B ) S 2 S1 S0 AB S 2 S1S0 ( A B ) S 2 S1 S0 A B S 2 S1S0 AB S 2 S1 S0 A B S 2 S1S0 0
作业解答
作业解答
题2.17 用VHDL描述2输入同或门,并用quartusII 完成其编译和波形仿真。
程序:
library ieee; use ieee.std_logic_1164.all; entity xxnor is port(a,b:in std_logic; y:out std_logic); end xxnor; architecture one of xxnor is begin y<=a xnor b; end one;
作业解答
仿真波形:
作业解答
题2.18 用VHDL描述4输入与非门,并用quartusII 完成其编译和波形仿真。
程序:
library ieee; use ieee.std_logic_1164.all; entity nnand4 is port(a,b,c,d:in std_logic; y:out std_logic); end nnand4; architecture one of nnand4 is begin y<=not(a and b and c and d); end one;
作业解答
y1
作业解答
仿真波形:
作业解答
题3.17 用16×4位EPROM实现题3.13中函数,画 出连线图。
Y1 ABC A( B C )
Y1 ABC A( B C ) ABC A BC A BC A BC A B C ABCD ABCD A BCD A BCD A BC D A BC D A BCD A BCD A B CD A B CD m15 m14 m7 m6 m5 m4 m3 m2
作业解答
Y2 ( D1 D0 D1 D0 )(D3 D2 ) ( D1 D0 D1 D0 )( D3 D2 ) ( D1 D0 ) ( D3 D2 ) D1 D0 D3 D2 D3 D2 D1 D0
作业解答
作业解答
题3.9 画出用三片四位数值比较器组成12位数值 比较器的连线图。
作业解答
题3.19 电话室需要对四种电话进行编码控制,优 先级 别最高的是火警电话,其次是急救电话,第 三是工作电话,第四是生活电话,试用与非门或 者或非门设计该控制电路。
Y1 A B A A B A B A B Y2 A B C A A B C A B C A B C
作业解答
仿真波形:
作业解答
用VHDL描述八选一数据选择器,并用quartusII 完成其编译和波形仿真。
程序:
library ieee; use ieee.std_logic_1164.all; entity mux81 is port(c0,c1,c2,c3,c4,c5,c6,c7:in std_logic; s:in std_logic_vector(2 downto 0); z:out std_logic); end mux81;
相关文档
最新文档