电子设计自动化大作业

合集下载

【作业要求】交互设计期末大作业

【作业要求】交互设计期末大作业
产品的外观和结构设计,并与电子模块紧密结合, 用3D效果图。 2. 完成海报与微电影的产品宣传制作。 3. 2-4人团队,完成创意设计,并且要在生活中找到实用的 案例,最好有用户的体验。
三、计划及要求 1.第一次分小组活动 内容:确定小组成员和选题,提出5个以上的产品概念,选 择其中2个方案,绘制方案草图,在课后完成下次课需要汇 报的PPT(根据小组讨论的结果,采用图文结合的形式表示, 重点说明所选择的方案,10页左右) 形式:分小组活动。 2.第一次集中汇报 内容:每个小组5分钟内,按第一组,第二组。。。的次序。 小组成员均要参加,每个成员汇报的内容由小组长确定。 以PPT的形式。 3.成果发布(最后一次课) 次序:各小组成员全部参加,由小组长确定每人介绍的内 容。按组次来。 内容:小组设计报告,操作演示动画。 要求:介绍时间5分钟,提问2分钟,准备好PPT和动画文件。
交互设计结课作业要求
交互设计课程大作业 一、选题: 1.能环保和智慧家居 手机远程监控家电的温湿度、亮度/烟雾报警和并可以用手机远程控制空调和 电灯的开关。 手机远程拍照和摄像,人体感应监控,防盗报警。 2.家庭宠物自动化饲养(无人在家的情况) 设计一个给宠物猫和狗的喂食系统,在无人在家的情况下,能够通过手机远 程视频监控喂食系统,并且控制喂食系统的食物和水的添加。 3.生态检测家中的氧气和甲醛的含量,如果超过设定的标准,自动打开通气风 扇,浓度在标准范围内时,通气扇自动关闭。 4.智能睡眠检测器 自动检测年记录睡眠的质量,如是否曾翻来覆去,打呼噜,说梦话,惊醒, 体温,心率是否正常等。 5.智能防盗背包 带有自动防盗功能。 6.智能艺术灯 可以根据外界的光线强度,自动调节发光度。要有一个奇特的外形与灯光融 为一体。
四、作业要求 1.小组设计报告一份(word打印稿、PPT文稿) 主要内容如下:作业名称;目录;小组成员介绍:照片、姓名、分工;进 度安排;需求分析;概念设计;方案与详细设计;最后提案:设计相关的 内容。对含界面设计的选题,要表达清楚各界面(包括小组成员设计的界 面)之间的关系(用网络图,流程图的形式表示);存在的问题分析和改 进意见;参考文献。 2.展示版面(2-3幅),每小组一份。打印稿 3.可上传作品到优酷(在报告中注明网址) 4.演示动画/高保真原型(每小组1个) 5..个人报告(每人一份):PPT形式,本人所做的主要工作。 6.作业提交形式:光盘 每小组一个文件夹(命名:成员1姓名-成员2姓名-成员3姓名) 该文件夹内容包括:小组报告PPT文件、版面和Flash播放文件。 7.上交时间:待定

EDA课程设计 彩灯控制器.

EDA课程设计 彩灯控制器.

电子设计自动化大作业题目彩灯控制器的设计学院**学院班级电气**学号**********姓名********二O一二年十月三十一日彩灯控制器的设计一、彩灯控制器的设计要求设计能让一排彩灯(8只)自动改变显示花样的控制系统,发光二极管可作为彩灯用。

控制器应有两种控制方式:(1)规则变化。

变化节拍有0.5秒和0.x秒两种,交替出现,每种节拍可有4种花样,各执行一或二个周期后轮换。

(2)随机变化。

无规律任意变化。

二、彩灯控制器的设计原理本次彩灯控制器的设计包含几个主要模块,一是彩灯显示和扬声器的时序控制部分,二是发光二极管的动态显示和数码管的动态显示,本次设计中,二者的显示同步变化;三是扬声器的控制部分。

流程图如下所示:图 1 彩灯控制器的设计流程图彩灯控制器的设计核心主要是分频器的使用,显示部分的设计较简易。

分频的方法有很多种,本次设计之采用了其中较简易的一种,通过计数器的分频,将控制器外接的频率分为几个我们预先设定的值。

当计数器达到预先设定的值,即产生一个上升沿,从而实现分频。

扬声器通过不同的频率控制发出不同的声音。

同样发光二极管和数码管的显示速度也由其中分出来的一种频率控制(控制显示频率在1~4 之间为宜)。

通过使能端的控制可以控制不同的数码管显示预先设定的图案,数码管依次显示的图案为 AA、BB、CC,并随着发光二极管同步动态显示。

AA 为自左向右显示,BB 为自右向左显示,CC 从二边向中间再由中间向二边发散显示。

与此同时,显示不同的花型时扬声器发出不同的声音,代表不同的花型。

本次设计还带有复位功能,通过复位可以使彩灯控制器恢复到最初的状态。

三、程序设计和分析library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;建立设计库和标准程序包实体部分: entity pan isport(clk:in std_logic;clr:in std_logic;speak:out std_logic;led7s1:out std_logic_vector(6 downto 0);led7s2:out std_logic_vector(7 downto 0);led_selout:out std_logic_vector(7 downto 0);end entity;实体名为 pan,定义端口,输入端口为 clk 和 clr ,其中 clk 接脉冲信号,clr 接复位端;输出端口 speak 接扬声器,led7s1 接数码管的七段显示部分,led7s 接八个发光二极管,led_selout 接八个数码管的使能端,控制数码管的循环显示。

四位二进制数可控加减法 上海交通大学电子技术实验大作业

四位二进制数可控加减法 上海交通大学电子技术实验大作业

《四位二进制数可控加减法》实验报告实验名称: 四位二进制数可控加减法姓名:学号:班级:目录一、实验方案 (3)二、设计思路................................................................................ 错误!未定义书签。

三、程序代码................................................................................ 错误!未定义书签。

四、调试问题 (6)五、心得感想 (7)一、实验方案1)基本功能实现两个四位二进制数的加减法运算,能够在led灯和数码管显示出结果。

2)清零功能利用一个微动开关,当微动开关按下时结果清零显示。

3)数码管显示将结果转换为七段显示器显示。

将运算结果输送到数码管中。

利用到人的视觉误差和短暂延时显示四位运算结果。

4)溢出问题若有溢出,则数码管显示“E”。

二、设计思路基本功能中分为连个模块,主模块用来运算加减法以及记录溢出和结果,子模块用来进行七段数码管的显示。

扩展功能中数码管显示要利用暂留现象,因此利用时钟clk来进行设计。

三、程序代码module show_sub(input [1:0]num,output reg [6:0] a_to_g );always @(*)case(num)2'b00: a_to_g=7'b1000000;2'b01: a_to_g=7'b1111001;2'b10: a_to_g=7'b1111111;2'b11: a_to_g=7'b0000110;default: a_to_g=7'b0000110;endcaseendmodulemodule show_top(input clk,clr,input wire [7:0] sw,input plus,sub,output wire [6:0] a_to_g,output reg [3:0] an,output reg [3:0] led );reg [15:0] clk_cnt;wire [1:0]s;reg [3:0] result; //运算结果reg [1:0] res;reg flag; //溢出标志wire [3:0] data1;wire [3:0] data2;assign data1=sw[7:4];assign data2=sw[3:0];assign s=clk_cnt[15:14];always @(posedge clk)beginclk_cnt=clk_cnt+1;endalways@(posedge plus or posedge sub or posedge clr)。

电子线路cad实训总结参考范本

电子线路cad实训总结参考范本

电子线路cad实训总结参考范本电子线路cad实训总结篇1随着计算机技术的发展,电路设计中的很多工作都可以交给计算机来完成,这就大大减轻了设计人员的手工劳动,并且保证了设计的规范性。

因此,电子设计自动化(EDA)已经成为不可逆转的时代潮流。

而这一潮流来自于计算机辅助设计(Computer Adied Design,CAD)。

(1)电子线路CAD是使用计算机来完成电子线路的设计过程,包括电路原理的编辑、电路功能仿真、工作环境模拟、印制板设计(包括自动布局、自动布线)与检测(包括布线、布局规则的检测和信号完整性分析)等。

Protel 99SE中共有十个小项目。

完成Protel 99SE安装,了解了系统的基本功能后,用户可以对Protel 99SE的系统环境进行设置,以适应自己的操作习惯。

在计算机上,利用电子线路Protel 99SE软件进行电路设计的过程大致如下:(2)电子线路原理图的设计。

原理图编辑是电路CAD设计的前提,因此原理图编辑(Schematic Edit)是电路CAD软件必备的功能。

(3)原理图元件库文件的设计。

它包括创建原理图元件库文件和创建复合元件库。

(4)电子线路PCB电路板的设计。

PCB(Printed Circle Board ,印制电路板)设计是电子产品由原理设计转换为产品的一个重要过程,为实现电路原理图所描述的电路,设计者需要设计满足原理图要求的PCB。

(5)PCB元件封装库设计。

为了方便用户处理设计中的PCB元件封装,Protel 99SE提供了PCB元件封装编辑器,用户可以在该编辑器中对PCB元件封装库进行编辑操作,包括复制PCB元件封装,删除PCB元件封装、新建自定义的PCB元件封装以及修改PCB元件封装等。

Protel 99SE是ProklTechnology公司开发的基于Windows环境下的电路板设计软件。

该软件功能强大,人机界面友好,易学易用,仍然是大中专院校电学专业必学课程,同时也是业界人士首选的电路板设计工具。

eda技术及应用第三版课后答案谭会生

eda技术及应用第三版课后答案谭会生

eda技术及应用第三版课后答案谭会生【篇一:《eda技术》课程大纲】>一、课程概述1.课程描述《eda技术》是通信工程专业的一门重要的集中实践课,是通信工程专业学生所必须具备的现代电子设计技术技能知识。

eda是电子技术的发展方向,也是电子技术教学中必不可少的内容。

本课程主要介绍可编程逻辑器件在电子电路设计及实现上的应用,介绍电路原理图和pcb图的设计技术。

开设该课程,就是要让学生了解大规模专用集成电路fpga和cpld的结构,熟悉一种以上的硬件描述语言,掌握一种以上的开发工具的使用等,掌握电路原理图和pcb图的现代设计技术与方法,从而提高学生应用计算机对电子电路和高速智能化系统进行分析与设计的能力。

2.设计思路本课程坚持“以学生为中心”的原则,以项目任务驱动的方式,采取理论知识与案例相结合的方式授课,提高学生的学习主动性。

通过必要的理论知识讲授、大量的实践训练和案例分析,培养学生的动手设计和实践能力,掌握eda开发的整个流程和基本技巧。

课程采用演示讲授和实践相结合,边讲边练的方法,让学生切身体会并掌握eda开发产品的流程和方法。

本课程集中2周时间开设,注重实践性,边讲边练,让学生切身体会并掌握eda开发技术。

3.实践要求(1)纪律和安全要求①不得将食物带入实验室,每次实训后请将使用后的废弃物带走。

违反者每次扣罚平时分2分。

②实训期间不得做与实训无关的其他事情,不得大声喧哗或做其他影响实训正常进行的事宜。

违反者每次扣罚平时分2分。

③实训期间,若学生有事不能正常参加实训,须提前以书面形式请假,并按指导教师的安排补做实训。

未经指导教师许可,学生不得任意调换实训时间和实训地点。

违反者每次扣罚平时分4分。

④学生不得以任何理由替代他人进行实训,违者直接取消实训成绩。

⑤学生除操作自己所分配的计算机外,不得操作实验室内其他任何设备。

违者每次扣罚平时分2分。

(2)业务要求实训所使用的软件protel和quartus ii,所有数据均通过服务器中转以及储存在服务器上,所以重启自己所用的电脑不会造成数据丢失。

电气自动化专业 毕业设计

 电气自动化专业 毕业设计

电气自动化专业毕业设计
电气自动化专业的毕业设计通常涉及以下几个方面:
1. 电力系统设计:设计一个电力系统,包括电力输配电网络的规划、变电站的设计、电缆的敷设和配电盘的设计等。

可以考虑使用MATLAB或其他电力系统仿真软件进行模拟和分析。

2. 控制系统设计:设计一个控制系统,包括传感器的选择和布置、控制器的设计和实现、以及系统的反馈和调节等。

可以使用PLC(可编程逻辑控制器)或其他控制器进行实验和测试。

3. 自动化设备设计:设计一个自动化设备,例如机器人、自动化生产线或智能家居系统等。

可以使用CAD软件进行设备的建模和设计,并使用控制系统进行设备的自动化控制。

4. 电力电子技术应用:设计一个电力电子系统,例如变频器、电力电子变压器或光伏逆变器等。

可以使用PSIM或其他电力电子仿真软件进行系统的建模和分析。

5. 电力负载管理:设计一个电力负载管理系统,用于实时监测和控制电力负载,以提高电力利用效率和降低能耗。

可以使用物联网技术和云计算技术进行数据采集和分析。

以上只是一些常见的电气自动化专业毕业设计方向,具体的设计内容和要求可能会根据学校和导师的要求而有所不同。

建议你在选择毕业设计方向时,根据自己的兴趣和专业知识进行选择,并与导师进行沟通,以确定最适合你的设计方向。

电气自动化技术 毕业大作业专科论文

电气自动化技术 毕业大作业专科论文

电气自动化毕业论文前言电气自动化是高等院校开设的一门工科专业,主要学习电子技术、电工技术、信息控制、电气测量、计算机技术等方面较宽广的工程技术基础和专业知识。

本专业主要特点是强电弱电结合、电工技术与电子技术相结合、软件与硬件结合、元件与系统结合,学生受到电工电子、信息控制及计算机技术方面的基本训练,具有解决电气工程与自动化领域技术问题的基本能力。

该专业是强电和弱电、计算机技术与电气控制技术交叉渗透的综合型专业学科。

电气工程及其自动化专业培养出的毕业生,以理论基础扎实、专业知识面宽广、实践动手能力强、适应性强在国内有较好的声誉。

主干课程电路原理、电子技术基础、计算机技术(语言、软件基础、硬件基础、单片机)、信号与系统、电磁场理论与应用、自动控制原理、电机学、电力电子技术、电气测量、电力拖动与控制等。

就业方向适合到国民经济各部门从事与电气工程有关的系统运行、自动控制、电力电子技术、信息处理、试验分析、研制开发等方面的工作,也能在科研院所、高等学校从事电气信息与自动化技术相关的研究开发、技术引进与改造及教学工作。

一、自动控制的基本概念在现代科学技术的许多领域中,自动控制技术得到了广泛的应用。

所谓自动控制,是指在无人直接参与的情况下,利用控制装置操纵受控对象,使被控量等于给定值或给定信号变化规律去变化的过程。

如图1-1所示。

控制装置和受控对象为物理装置,而给定值和被控量均为一定形式的物理量。

自动控制系统由控制装置和受控对象构成。

对自动控制系统的性能进行分析和设计则是自动控制原理的主要任务。

二、自动控制系统的基本构成及控制方式1. 开环控制控制装置与受控对象之间只有顺向作用而无反向联系时,称为开环控制。

开环控制的特点是系统结构和控制过程很简单,但抗扰能力差、控制精度不高,故一般只能用于对控制性能要求较低的场合。

2. 闭环控制控制装置与受控对象之间,不但有顺向作用,而且还有反向联系,既有被控量对控制过程的影响,这种控制称为闭环控制,相应的控制系统称为闭环控制系统。

《电力电子技术》大作业

《电力电子技术》大作业

《电力电子技术》大作业1.设计题目:交-直-交变频电路的仿真研究2.设计目的:1)掌握三相全桥相控整流电路的结构及其工作原理,明确触发脉冲的相位关系,熟悉整流电路交流侧与直流侧电流、电压关系;2)掌握单相全桥逆变电路的结构及其工作原理,明确调制信号与载波信号之间的幅值关系,明确驱动脉冲的分配关系,熟悉逆变电路输出电压与直流电压、调制信号幅值之间的关系;3)熟悉电力电子电路的计算机仿真方法。

3.设计内容:(以下内容以PSIM 9.0.4 Demo版软件为例,但也可以使用其它任何仿真软件)1)参考PSIM仿真软件所提供示例中的三相全桥相控整流稳压电路模型(.. \Powersim\PSIM9.0.4_Demo\examples\ac-dc\thy-3f.sch),构建触发延时角为30度的三相全桥整流电路。

其中交流侧电源选用380V线电压50Hz三相电源,星型联接。

其中整流电路直流侧平波电感1mH、滤波电容10mF及负载电阻10Ω。

采用宽脉冲触发方式。

观测电网电压波形、触发脉冲波形、直流侧电压波形和负载电流波形。

2)参考PSIM仿真软件所提供示例中的三相PWM逆变电路模型(.. \Powersim\PSIM9.0.4_Demo \examples\dc-ac\vsi3 spwm.sch),构建单相全桥PWM逆变电路。

直流侧使用100V直流电压源。

调制波信号为50Hz正弦波信号。

载波信号为10kHz双极性三角波。

调制比设为0.9。

负载使用1mH+10Ω阻感性负载。

观测调制波、三角载波和变流器输出电压波形。

3)将1)、2)构建的仿真模型相组合,实现交-直-交变频电路仿真模型。

其中触发延时角设为60度,调制波信号为250Hz正弦波信号。

载波信号为10kHz双极性三角波。

调制比设为0.9。

负载使用5mH+5Ω阻感性负载。

参考电路图如下。

观测交流侧A相电网电压波形、相控整流触发脉冲波形、直流电压波形、输出电压给定波形、负载电压波形及电流输出波形。

电子系统设计课程设计量程自动切换的数字电压表设计

电子系统设计课程设计量程自动切换的数字电压表设计

电子系统设计课程设计-量程自动切换的数字电压表设计电子系统设计大作业题 目 数字智能电压表设计姓 名 学 号 专业班级 指导教师 学 院 完成日期宁波理工学院1.系统原理和方案介绍1.1系统总体方案介绍根据数字电压表的功能实现要求,选用51系列单片机作控制系统,测量低电压时,经比例放大器(LM324)电路实现放大,放大倍数为10倍、高电压经大电阻分压从而控制输入ADC0808的信号在0到5V左右实现A/D转换经AT89C52送入LED数码管显示,实现模拟测量,结果数字显示。

设计两个量程进行自动切换,基本实现智能化。

硬件操作其测量准确性较高,显示效果基本满足接受范围,并且电路相对比较简单,成本低,稳定性较高。

1.2 系统结构总框架按照设计要求,初步确定下系统的设计方案,下图为该系统设计方案的总体结构框架图。

硬件及软件仿真电路均由6大部分组成,即51单片机电路、时钟电路、复位电路、数码管显示电路、A/D转换器(ADC0809)和电压输入测量电路。

1.3系统工作原理对待测模拟电压值按不同的范围,分为500mv、10v两个档位。

对于高于500mv 的档位,采用高电阻分压的方式,其1/2等比例转换为0—5V的电压值;对于低于500mv的档位,采用比例放大器,等比例放大10倍左右,再将电压送入AD 进行转换,然后将处理好的信号送入51单片机进行运算,最后再数码管上显示。

同时单片机对模拟开关芯片(74HC4066)进行控制,完成自动量程切换,实现智能处理。

实验时,档位自动切换原理。

当所测电压超过500mv时,P3.2输出低电平,关闭500mv档位电路中的模拟开关74HC4066,而P3.3输出高电平,打开10v档位电路中的模拟开关74HC4066,10v档位的电路正常工作,如此实现自动切换量程。

在本系统设计中采用AT89C52单片机的端口P1.0~ P 1.7作为 4位 LED数码管的显示控制。

P3.2 与 P3.3 作为档位控制端口。

现代设计技术 -solidworks大作业

现代设计技术 -solidworks大作业

现代设计技术-solidworks大作业一级减速器装配绘制姓名:班级:学号:要求:1、说明一减速器的参数的具体参数。

(零部件几何参数)2、简述零件的绘制过程及装配过程。

3、打印关键零部件的工程图及装配总图。

(含尺寸、工艺参数。

)4、建立文件夹,以“名字+学号”形式命名,统一上交电子稿装配及零件图到班级学委,再有学委上交老师。

5、全部拷贝别人的数据,记为此次大作业不及格。

6、此次电子作业数据保存到学院电子库。

一、一级减速器参数的阐述。

注:所标尺寸单位为m(米)。

零部件的图1、箱体的绘制①箱体的图片(工程图2d,3d图)②简述绘制过程答:箱盖:1.创建基本几何体2.创建联结面3.创建轴肩及轴承座孔4.镜像特征、创建起盖螺孔及轴承座孔倒角5.创建吊耳6.创建窥视孔箱底:1.创基本几何体2.创建底座3.创建联结面4.创建轴肩及轴承孔5.镜像特征、轴承座孔倒角6.创建机座加强筋,吊钩7.创建油标孔8.创建排油孔。

2、轴的绘制(工程图2d,3d图)①轴的图片(工程图2d,3d图)②简述绘制过程答:1.创建圆并进行尺寸标注2.将圆进行实体拉伸3.在创建好的圆柱体的任意一个端面进行草绘创建下一段阶梯轴4.重复步骤3绘制剩下的阶梯轴直至创建完成 5.在要求的位置进行切键槽 6.在绘制好的轴的两端进行倒圆角。

3、轴承的绘制(工程图2d,3d图)①轴承的图片(工程图2d,3d图)②简述绘制过程答:1.先画轴承内外圈. 2.画单个滚珠零件. 3.生成滚珠装配体, 4.做保持架,首先利用拉伸特征生成保持架基体,生成球体,圆周阵列球体,切除基体,旋转切除. 5.最后装配起来.4、法兰盘的绘制(工程图2d,3d图)①法兰盘的图片(工程图2d,3d图)②简述绘制过程答:1.创建圆并进行尺寸的标注2.对创建好的圆进行实体拉伸3.创建基准面并在规定的位置将圆柱切成圆台。

4.在规定的位置进行切螺孔 5.将切出的螺孔进行实体镜像 6.在中心部分进行切除一个盲孔。

2017电子设计(CAD)大作业no3

2017电子设计(CAD)大作业no3

电子线路CAD 大作业说明:上交考试结果方式:学生须建立一个文件夹,文件夹名字以本人姓名学号命名;根据题目要求完成作图,并将答案保存到文件夹中。

一、抄画电路原理图(34分)1、 在指定目录底下新建一个以自己名字拼音命名的设计文件。

例:学生陈大勇的文件名为:CDY.PRJPCB ;2、 在学生的设计文件下新建一个原理图子文件,文件名为sheet1.schDOC (或sheet1.SchDoc );3、 按下图尺寸及格式画出标题栏,填写标题栏内文字(尺寸单位为:mil ); 考生姓名准考证号码身份证号码评卷姓名题号出生年月日成绩性别202020207011060603020(考 生 单 位)4、 按照附图一内容画图(要求对FOOTPRINT 进行选择标注);5、 将原理图生成网络表;6、 保存文件。

二、生成电路板(50分)1、 在学生设计文件中新建一个PCB 子文件,文件名为PCB1.PCBDOC (或PCB1.PcbDoc );2、 利用上题生成的网络表,将原理图生成合适的长方形双面电路板,规格为X:Y=4:3;3、 电路板的布局不能采用自动布局,要求按照信号流向合理布局(从上至下,从下至上,从左至右,从右至左)。

4、 要修改网络表,使得IC 等的电源网络名称保持与电路中提供的合适电源的网络名称一致。

5、将接地线和电源线加宽至20-50mil;6、保存PCB文件。

三、制作电路原理图元件及元件封装(16分)1、在设计文件中新建一个原理图元件库子文件,文件名为schlib1.SCHlib(或schlib.SchLib);2、抄画附图二的原理图元件,要求尺寸和原图保持一致,并按图示标称对元件进行命名,图中每小格长度为10mil;3、在设计文件中新建一个元件封装子文件,文件名为PCBlib1.PCBlib(或PCBlib.PcbLib);4、抄画附图三的元件封装,要求按图示标称对元件进行命名(尺寸标注的单位为mil,不要将尺寸标注画在图中);5、保存两个文件;6、退出绘图系统,结束操作。

西北工业大学电子信息科学与技术 培养方案

西北工业大学电子信息科学与技术 培养方案

电子科学与技术专业本科培养方案/bkspy/pyfa.htm一、培养目标培养具备在微电子技术领域内,基础理论扎实、适应面广、工程能力强、基本素质好,能从事半导体微电子技术及器件的设计、制造、研究和发明工作的高级工程技术人才,也能够在电子及相关技术领域从事设计、研究和管理的高级工程技术人才。

二、培养要求1.较为系统地掌握本专业所需要的电路基础科学理论,扎实地掌握较宽广的电子技术基础理论以及必要的电子科学与技术方面的专业知识。

掌握一定的经济知识、管理知识、社科知识、人文学科知识、法律知识、国防知识及工程技术知识。

掌握文献检索、资料查询的基本方法。

了解集成电路制造产业的基本方针、政策和法规,了解企业管理的基本知识;了解电子科学技术和相关科技发展的新动向。

2.具有本专业所必需的设计、制图、运算、实验、测试,写作表达以及电装工艺操作等技能。

3.具有较强的自学能力;具有较强的计算机应用能力;具有一定的设计、分析和解决电子工程实际问题的能力;具有工程的质量和效益观念,有初步的科技研究开发能力和组织管理能力;基本掌握一门外语,能比较顺利地阅读本专业的外文书刊,具有听、说、写的基础。

毕业生应获得以下几方面的知识和能力:1.掌握多种半导体微电子器件的基本理论和基本知识;2.掌握微电子器件和电路的计算机仿真设计及仿真分析;3.掌握微电子器件技术在计算机技术、通信技术等领域的应用;4.掌握多种半导体微电子器件与电路(分立器件、集成电路、光电子器件等)的理论研究、设计、制造工艺和测试分析技术;5.了解电子科学技术的最新进展与发展动态;6.掌握文献检索、资料查询的基本方法,具有一定的科学研究和实际工作能力。

三、学制与学位修业年限:四年授予学位:工学学士四、学时与学分总学分:209课内教学学时/学分:2798/171 占总学分的比例:81.8% 其中:通识教育基础课学时/学分:1648/102 占总学分的比例:48.8%;专业基础课学时/学分:734/43 占总学分的比例:20.6%;专业课学时/学分:416/26 占总学分的比例:12.4%;集中性实践环节周数/学分:38周/38 占总学分的比例:18.2%五、主干学科:电子科学与技术六、主干课程:电路分析基础,信号与系统,电子线路,脉冲与数字电路,半导体物理,微机原理及应用,数字信号处理,集成电路分析与设计,电子器件,微电子机械系统,传感器原理与应用,电子测量七、总周时分配注:()内的实验教学时数在机动时间安排八、课程设置及教学进程学院:电子信息学院专业:电子科学与技术。

机电一体化大作业

机电一体化大作业

实用标准三自由度平动机械手工作台机电系统设计科目:机电一体化班级:机械工程五班成员:罗欣201325070530卢国文201325070501赵效鲁201325070509贾静然201325070502目录一、设计任务 (3)1、题目 (3)2、任务 (3)3、主参数 (3)二、产品总功能的确定 (4)1、单坐标定位。

(4)2、三平面直线插补和圆弧插补。

(4)三、总体方案的确定 (7)1、机械传动部件的选择 (7)2、动力装置的选择 (7)3、.检测与传感装置的选择 (8)4、.控制与信息处理装置的选择 (8)四、机械传动部件的计算与选型 (9)1、滚珠丝杠螺母副的计算与选型 (9)2、步进电机的计算与选型 (12)五、检测与传感装置的计算与选型 (15)1、速度的检测与传感装置 (15)、2.位移的检测与传感装置 (17)六、控制与信息处理装置的选择 (20)1、速度控制 (20)2、CPU的选择 (26)七、工作台系统的功能框图 (27)八、工作台装配图的绘制 (28)九、部分源代码 (28)十、感悟与总结 (32)十一、参考文献 (33)一、设计任务1、题目三自由度平动机械手工作台机电系统设计2、任务设计一种适用于机械手空间平动的工作台3、主参数(1)、X、Y、Z方向脉冲当量:= = =0.005mm/脉冲(2)、X、Y、Z方向的定位精度均为:0.01mm(3)、工作台空载最快移动速度:= = =3000mm/min (4)、工作台负载最快移动速度:= = =400mm/min (5)、工作台空间尺寸:500mm500mm500mm(6)、工作台工作空间尺寸:400mm400mm400mm (7)、空载启动时间:Δt=25ms二、产品总功能的确定1、单坐标定位。

2、三平面直线插补和圆弧插补。

本例中采用逐点比较法进行直线和圆弧的插补(1)逐点比较法插补的基本原理逐点比较法插补的基本思路是:在从起点到终点的路程中,根据机械手当前位置与给定轨迹的偏离情况,并为消除这个偏离,在其中一个坐标轴上走一小步,这样一步步直到终点,每一步都是用给定轨迹对实际轨迹进行修正。

基于VHDL的八位全加器

基于VHDL的八位全加器

EDA技术课程大作业设计题目:基于VHDL的八位全加器院系:电子信息与电气工程学院学生姓名:学号: ************专业班级: 09电子信息专升本2010 年12 月5日基于VHDL的八位全加器1. 设计背景和设计方案1.1设计背景全加器是数字电路中一种典型的集成电路功能元件,它在有关数值运算的系统中得到广泛的应用。

就产品而言,对于各集成电路族,均有现成的双全加器、四位全加器,可供使用,从而为使用全加器的逻辑设计提供了物质基础。

它是一种由被加数、加数和来自低位的进位数三者相加的运算器,基本功能是实现二进制加法。

进位传送速度快,主要用于高速数字计算机、数据处理及控制系统。

与其它专用的中规模集成电路不同, 它同时具有多种重要的特性和功能,具有多种用途的潜力。

1.2设计方案多位加法器的构成有两种方式:并行进位和串行进位方式。

并行进位加法器设有并行进位产生逻辑,运算速度快;串行进位方式是将全加器级联构成多位加法器。

通常,并行加法器比串行级联加法器占用更多的资源,并且随着位数的增加,相同位数的并行加法器比串行加法器的资源占用差距也会越来越大。

4 位二进制并行加法器和串行级联加法器占用几乎相同的资源。

这样,多位数加法器由4 位二进制并行加法器级联构成是较好的折中选择。

因此本文中的8 位加法器采用两个4位二进制并行加法器级联而成。

2. 方案实施2.1 并行四位全加器2.1.1 源程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity adder8 isport(c8: in std_logic;a8: in std_logic_vector(7 downto 0);b8: in std_logic_vector(7 downto 0);s8: out std_logic_vector(7 downto 0);co8:out std_logic);end entity adder8;architecture art of adder8 iscomponent adder4 isport(c: in std_logic;a: in std_logic_vector(3 downto 0);b: in std_logic_vector(3 downto 0);s: out std_logic_vector(3 downto 0);co: out std_logic);end component adder4;signal sc: std_logic;beginu1: adder4 port map(c8,a8(3 downto 0),b8(3 downto 0),s8(3 downto 0),sc); u2: adder4 port map(sc,a8(7 downto 4),b8(7 downto 4),s8(7 downto 4),co8); end architecture art;2.1.2 波形仿真图高位运算必须要等低位进位来到后才能进行,并行四位全加器可以胜任高速运算。

电力电子大作业--发电变网逆变器的设计--PPT

电力电子大作业--发电变网逆变器的设计--PPT

400
200
0
1100
1099.5
-200
1099
-400
0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1
0.87
0.88
0.89
0.9
0.91
0.92
0.93
0.94
0.95
逆变器直流侧电容电压
150
500
逆变器交流侧相电压
100 50 0
0
-50 -100 -150 0.74
4、逆变器的参数设计与仿真
4.1并网逆变器的技术指标
本文设电网额定频率为50Hz,电网线电压额定值为690v。本文取,再参考逆变器单相并网的标准化 指标,本文设计的直驱式风力发电并网逆变器的技术指标如下表所示:
额定输入电压(U dc ,V) 最大允许输出电流(A) 并网线电压有效值( E ,V)
1100 160 690
3、逆变器的控制策略
本文所设计风电逆变器总体的动态控制结构框图如图:
图中,Udc*是给定的中间直流电压,Udc是作为外 环反馈的中间直流电压,二者之差作为PI调节器 的调节信号进入PI调节器进行调控,调控的结果 又作为内环d轴的给定电流id*。作为内环反馈的 逆变器网侧并网交流电流信号经过坐标变换得到 两相静止坐标系下的直轴分量id和交轴分量iq 。 赋iq*值为0以获得单位功率因数。d轴和q轴各自 的反馈量和给定量进行比较后分别进入独立的PI 调节器进行独立调控,PI调节器的输出结果注入 反馈的电网电压以及轴互相之间的耦合量进行解 耦,经过坐标变换将反馈调控的结果变换到两相 旋转坐标系,然后把信号交给SPWM调控,对逆变 器三相桥上开关器件进行控制,实现逆变器的控 制。

大作业格式 先交打印版

大作业格式 先交打印版

格式要求:1、首页按照示例填写2、标题三号黑体加粗3、段间距:1.5倍4、一级标题四号加粗5、二级标题小四加粗6、正文文字为小四7、页边距上下左右均为2.5 ,左侧装订0.38:作业要求:字数要求2500以上,3500以下。

必有一级标题:系统方案(需包含结构框图)、硬件设计、软件设计、调试、总结五个部分9:电路图、软件程序作为附录一、附录二10 :大作业从正文开始要求有页码从1开始(见示例)单片机嵌入式系统设计期末作业报告(大作业的名字)系别:专业:学号:姓名:电动车跷跷板设计报告摘要:为了满足电动车跷跷板的设计要求,进行了各单元电路方案的比较论证及确定,系统以凌阳16位单片机SPCE061A作为电动车的控制核心,选用了上海直川科技有限公司生产的ZCT245AL-TTL型倾角传感器测量跷跷板水平方向倾角,该传感器灵敏度高、重复性好且输出485信号便于与单片机接口;对于关键的小车动力部分,经过充分比较、论证,最终选用了控制精确的步近电机,其最小步进角0.9度,易于平衡点的寻找;通过红外对管TCRT5000寻迹,实现了小车走直线等功能;系统显示部分选用图形点阵式液晶显示器OCJM4*8C,串行接口,编程容易,美观大方。

采用单片机内部时钟实现精确计时。

最后的实验表明,系统完全达到了设计要求,不但完成了所有基本和发挥部分的要求,并增加了路程显示、全程时间显示和语音播报三个创新功能。

关键词:倾角传感器,红外对管,步进电机,SPCE061A1.系统方案1.1 实现方法本题要求设计并制作一辆电动小车,能实现在跷跷板上运动且在不同配重的情况下保持平衡等功能。

我们想利用电机控制小车运行,角度传感器测量跷跷板水平方向倾角来确定小车何时达到平衡,利用寻迹模块实现小车沿直线行走以及在A点外某处能自动驶上跷跷板,还有显示模块以及语音模块等做为人机界面,实现显示及语音提示等功能。

上述各模块的方案论证如下。

1.2 方案论证1.2.1 控制器模块方案一:采用ATMEL 公司的AT89C51。

电子信息工程专业课程

电子信息工程专业课程

电子信息工程专业课程介绍电子信息工程专业是以电子技术、信息技术和计算机技术为主体,涵盖电子物理学、电路原理、数字电路、模拟电路、信号与系统、电磁场与电磁波、微机原理与接口技术、单片机原理及应用、电子设计自动化、数据结构与算法分析、计算机网络等多门学科的一个综合性专业。

专业课程的学习是电子信息工程专业学生们学习的重点和难点,也是他们成为一名电子信息工程师的基础和必备。

1. 电子物理学电子物理学是电子信息工程专业中的重要基础课程,主要涉及电子物理学基本概念、半导体基础、半导体器件物理和性质等方面知识。

其中,半导体物理是电子物理学中的重点内容之一,对应着整个电子信息工程专业的核心领域-半导体器件。

掌握电子物理学需要前置知识为电磁学和高等数学等课程。

•学习目标掌握电子物理基本概念及其应用;了解半导体物理基础、性质及其供电方式;了解半导体材料制备、光、电、声、热的基本原理。

•课程评估电子物理学成绩多数由期末考试成绩决定,实验和平时成绩也有影响。

需要学生认真听课和实验操作,培养实验能力。

•学习方法多练习题解,注重理解物理学知识应用的实际意义,加强理论课和实验课相结合的学习方式。

同时,也要关注半导体产业的发展动向。

2. 电路原理电路原理是电子信息工程专业的核心课程之一,主要介绍各种电源电路、模拟电路和数字电路的基本原理。

其学习过程中融合了电子物理学、电磁学及数学知识,内容涵盖电路基础、有功电路、无功电路、交流电路、电子磁波等相关知识。

•学习目标掌握电路的基本概念、电路分析方法、电路的基础模型;了解电源电路与稳压电路、放大电路、振荡电路等不同类型电路的性质;掌握电路分析、设计、测试技术、并能灵活应用。

•课程评估电路原理的成绩评估主要依据期末考试成绩,加上课堂出勤加分和实验分以及大作业也是关键。

学生们需要认真听课、做好课后作业、参加实验和大作业等任务。

•学习方法理论与实践结合,要注重思维训练,解决实际工程问题。

经常总结思考学到的知识如何应用,不断尝试创造新的电路。

智能控制-考核大作业+设计(10)

智能控制-考核大作业+设计(10)

智能控制-考核大作业+设计(10)《智能控制》大作业姓名: 徐东 班级: 自动化103 学号: 31002013341、简答题:1.1.根据目前智能控制系统的研究和发展,智能控制系统主要有哪些方面的工作可做进一步的探索和开展?答:1)智能控制的基础理论和方法研究。

2)智能控制系统结构研究。

3)基于知识系统及专家控制。

4)基于模糊系统的智能控制。

5)基于学习及适应性的智能控制。

6)基于神经网络的智能控制系统。

7)基于信息论和进化论的学习控制器研究。

8)其他,如计算机智能集成制造系统、智能计算系统、智能并行控制、智能容错控制、智能机器人等。

1.2.画出模糊控制系统的基本结构图,并简述模糊控制器各组成部分所表示的意思?答:模糊化接口:通过在控制器的输入、输出论域上定义语言变量,来将精确的输入、输出值转换为模糊的语言值。

模糊推理:根据控制规则中蕴涵的输入、输出模糊关系和实际输入的模糊取值,通过模糊推理,得到输出的模糊状态。

规则库:规则库由若干条控制规则组成,这些控制规则根据人类控制专家额经验总结得出,按照IF …is …and …is …THEN …is …的形式表达。

清晰化接口:通过清晰化的方法把由模糊推理得到的模糊输出值转化成精确控制值暑假给对象。

1.3.画出感知器的基本结构模型,并简述其算法过程。

答:x1x2``xn算法的过程:1)给定初始值2)输入一样本X 和它的希望输出d 。

模糊模糊推清晰ℇ Ө执行级是底层,具有很高的控制精度,采用常规自动控制。

1.7.模糊控制规则的生成方法通常有哪几种,且模糊控制规则的总结要注意哪些问题?答:生成的方法:1)根据专家或过程控制知识生成控制规则。

2)根据过程的模糊模型生成控制规则。

3)根据学习算法获取控制规则。

应注意的问题:1)规则数量合理。

2)规则要具有一致性。

3)完备性要好。

2、计算题:2.1. 已知三个模糊矩阵R 、S 和Q 分别如下所示,0.60.40.70.20.50.10.90.30.4R ⎡⎤⎢⎥=⎢⎥⎢⎥⎣⎦,0.20.70.30.80.60.51.00.20.9S ⎡⎤⎢⎥=⎢⎥⎢⎥⎣⎦,0.60.50.20.80.40.80.4 1.00.70.10.90.3Q ⎡⎤⎢⎥=⎢⎥⎢⎥⎣⎦试求R S ⋃,R S ⋂,()R S Q ⋃,以及()R S Q ⋂。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

第 1 页 共 4 页
班级 学号 姓名 命题教师 教研室(系)主任审核(签字)
…………………………………………装…………………………………订………………………………线………………………………………
装订线以内不准作任何标记
2012/2013学年第一学期考试题(卷)
课程名称 电子设计自动化 考试性质 考查 试卷类型 A
使用班级 电子0901~03电信0901~02 考试方法
闭卷
人 数 140
题 号 一





七 八 九

总 成 绩
成 绩
一、查阅相关资料,说出常用的EDA 工具软件分为哪几类?并写出每一类常用的软
件名称及其特点。

(15分)。

目前常用的EDA 工具软件:multiSIM7(原EWB 的最新版本)、PSPICE 、OrCAD 、
PCAD 、Protel 、Viewlogic 、Mentor 、Graphics 、Synopsys 、LSIIogic 、Cadence 、MicroSim 等等。

一般可用于几个方面,例如很多软件都可以进行电路设计与仿真,同进还可以进行PCB 自动布局布线,可输出多种网表文件与第三方软件接口。

①SPICE (Simulation Program with Integrated Circuit Emphasis ):是由美国加州大学推出的电路分析仿真软件,是20世纪80年代世界上应用最广的电路设计软件,1998年被定为美国国家标准。

1984年,美国MicroSim
公司推出了基于SPICE 的微机版PSPICE (Personal-SPICE )。

现在用得较多的是PSPICE6.2,可以说在同类产品中,它是功能最为强大的模拟和数字电路混合仿真EDA 软件,在国内普遍使用。

最新推出了PSPICE9.1版本。

它可以进行各种各样的电路仿真、激励建立、温度与噪声分析、模拟控制、波形输出、数据输出、并在同一窗口内同时显示模拟与数字的仿真结果。

无论对哪种器件哪些电路进行仿真,都可以得到精确的仿真结果,并可以自行建立元器件及元器件库。

②multiSIM (EWB 的最新版本)软件:是Interactive Image Technologies Ltd 在20世纪末推出的电路仿真软件。

其最新版本为multiSIM7,目前普遍使用的是multiSIM2001,相对于其它EDA 软件,它具有更加形象直观的人机交互界面,特别是其仪器仪表库中的各仪器仪表与操作真实实验中的实际仪器仪表完全没有两样,但它对模数电路的混合仿真功能却毫不逊色,几乎能够100%地仿真出真实电路的结果,并且它在仪器仪表库中还提供了万用表、信号发生器、瓦特表、双踪示波器(对于multiSIM7还具有四踪示波器)、波特仪(相当实际中的扫频仪)、字信号发生器、逻辑分析仪、逻辑转换仪、失真度分析仪、频谱分析仪、网络分析仪和电压表及电流表等仪器仪表。

还提供了我们日常常见的各种建模精确的元器件,比如电阻、电容、电感、三极管、二极管、继电器、可控硅、数码管等等。

模拟集成电路方面有各种运算放大器、其他常用集成电路。

数字电路方面有74系列集成电路、4000系列集成电路、等等还支持自制元器件。

MultiSIM7还具有I-V 分析仪(相当于真实环境中的晶体管特性图示仪)和Agilent 信号发生器、Agilent 万用表、Agilent 示波器和动态逻辑平笔等。

同时它还能进行
VHDL仿真和Verilog HDL仿真。

③MATLAB产品族:它们的一大特性是有众多的面向具体应用的工具箱和仿真块,包含了完整的函数集用来对图像信号处理、控制系统设计、神经网络等特殊应用进行分析和设计。

它具有数据采集、报告生成和MATLAB语言编程产生独立C/C++代码等功能。

MATLAB产品族具有下列功能:数据分析;数值和符号计算、工程与科学绘图;控制系统设计;数字图像信号处理;财务工程;建模、仿真、原型开发;应用开发;图形用户界面设计等。

MATLAB 产品族被广泛应用于信号与图像处理、控制系统设计、通讯系统仿真等诸多领域。

开放式的结构使MATLAB产品族很容易针对特定的需求进行扩充,从而在不断深化对问题的认识同时,提高自身的竞争力。

二、查阅资料,简述EDA技术的发展过程及趋势。

(15分)
EDA技术的发展趋势从目前的EDA技术来看,其发展趋势是政府重视、使用普及、应用文泛、工具多样、软件功能强大。

中国EDA市场已渐趋成熟,不过大部分设计工程师面向的是PC主板和小型ASIC领域,仅有小部分(约11%)的设计人员工发复杂的片上系统器件。

为了与台湾和美国的设计工程师形成更有力的竞争,中国的设计队伍有必要购入一些最新的EDA技术。

在信息通信领域,要优先发展高速宽带信息网、深亚微米集成电路、新型元器件、计算机及软件技术、第三代移动通信技术、信息管理、信息安全技术,积极开拓以数字技术、网络技术为基础的新一代信息产品,发展新兴产业,培育新的经济增长点。

要大力推进制造业信息化,积极开展计算机辅助设计(CAD)、计算机辅助工程(CAE)、计算机辅助工艺(CAPP)、计算机机辅助制造(CAM)、产品数据管理(PDM)、制造资源计划(MRPII)及企业资源管理(ERP)等。

有条件的企业可开展“网络制造”,便于合作设计、合作制造,参与国内和国际竞争。

开展“数控化”工程和“数字化”工程。

自动化仪表的技术发展趋势的测试技术、控制技术与计算机技术、通信技术进一步融合,形成测量、控制、通信与计算机(M3C)结构。

在ASIC和PLD设计方面,向超高速、高密度、低功耗、低电压方向发展。

外设技术与EDA 工程相结合的市场前景看好,如组合超大屏幕的相关连接,多屏幕技术也有所发展。

中国自1995年以来加速开发半导体产业,先后建立了几所设计中心,推动系列设计活动以应对亚太地区其它EDA 市场的竞争。

在EDA软件开发方面,目前主要集中在美国。

但各国也正在努力开发相应的工具。

日本、韩国都有ASIC设计工具,但不对外开放。

中国华大集成电路设计中心,也提供IC设计软件,但性能不是很强。

相信在不久的将来会有更多更好的设计工具有各地开花并结果。

据最新统计显示,中国和印度正在成为电子设计自动化领域发展最快的两个市场,年复合增长率分别达到了50%和30%。

EDA技术发展迅猛,完全可以用日新月异来描述。

EDA技术的应用广泛,现在已涉及到各行各业。

EDA水平不断提高,设计工具趋于完美的地步。

EDA市场日趋成熟,但我国的研发水平沿很有限,需迎头赶上。

三、下面给出的共射晶体管放大电路原理图,如图1所示,要求如下:
(1)对电路的直流工作点分析;
(2)对电路进行瞬态分析,并分析输出波形是否失真;
(3)若电路输出波形出现失真,如何改善电路波形失真;
(4)测试电路的fL和fH。

(本题20分)
第 2 页共4 页
第 3 页 共 4 页
班级 学号 姓名 …………………………………………装……………………………订………………………………线………………………………………
装订线以内不
准作任

标记
00000000000000 0
四、利用Protel99SE 软件画出DSP (TMS320VC33)系统原理图,并输出元器件列表,若元件库中没有的元件,需要手动建立元件库,然后,根据原理图设计PCB 图,给出设计图的信息。

(本题共25分)
五、分别用Multisim2001和Max-PlusII 软件分析十进制计数器、译码器和
数码显示电路功能,对仿真结果进行截图放置在Word文档中。

(本题共25分)本题提示:在Multisim2001系统中可采用74LS161、74LS47和七段显示译码电路组成并显示结果,对于时序关系可采用逻辑分析仪观察。

在Max-PlusII软件中可采用元件库中的器件,连接成原理图,对译码后的结果仿真输出。

要求:1.本次大作业学生需独立完成,并整理成WORD文档,在规定的时间提交给任课教师。

2.需查阅资料的题目,需给出参考文献,
3.画图和仿真的题目的要求学生给出完成步骤和仿真结果。

第 4 页共4 页。

相关文档
最新文档