多进制数字相位调制系统课程设计

合集下载

多进制数字调制系统PPT课件(通信原理)

多进制数字调制系统PPT课件(通信原理)
若各信号状态出现的概率相等,则调制信 号的平均发送功率
13
8PSK信号点
14
在L=8 的5种信号星座图可以看 出,(4) 是最佳的一种方案
在同样的性能下,即在保证信 号状态点之间的最小距离为2 的情况下,(4)方案所用的平 均信号功率最小.
15
1
6.4.1 MASK
L电平的调制信号
可看成由时间上不重叠的L个不同振幅值 的OOK信号的叠加,因而,其功率谱密度便是这L 个信号的功率谱密度之和,尽管叠加后的谱结构 很复杂,但就带宽而言,L电平调制信号的带宽与 二电平的相同.
2
A(t)
×
x(t)
A(t)
BPF
× LPF 抽样判决
… 门限电平
每个四进制码元又被称为双比特码元
ab
(A方式) (B方式)
00 10 11 01
0° 90° 180° 270°
225° 315° 45° 135°
8
10
01
11
11
00
参考相位
参考相位
00
10
01
QPSK信号的矢量图
9
a
×
输入
串/并变换
-π/2
b
×
输出
+
调制
×
LPF
抽样判决
a
-π/2
并/串
×
多进制数字调制系统
特点 1. 在相同的码元传输速率下,信息传输速
率比二进制系统高。 Rb=RBN㏒2N b/s 2. 在相同的信息传输速率下,多进制码元
传输速率比二进制低。增大码元宽度, 会增加码元的能量,并能减少由于信道 特性引起的码间干扰的影响。 3. 在相同的噪声下,多进制数字调制系统 的抗噪声性能低于二进制数字调制系统。

毕业论文-多进制数字信号调制系统

毕业论文-多进制数字信号调制系统

本科毕业设计(论文)题目多进制数字信号调制系统设计学生姓名XX 学号0907050208教学院系电气信息学院专业年级通信工程2009级指导教师汪敏职称讲师单位西南石油大学辅导教师职称单位完成日期2013 年 6 月9 日Southwest Petroleum UniversityGraduation ThesisSystem Design of M-ary Digital Signal ModulationGrade: 2009Name:Liu ShaSpeciality: Telecommunications EngineeringInstructor: Wang MinSchool of Electrical Engineering and Information摘要由于数字通信系统的实际信道大多数具有带通特性,所以必须用数字基带信号对载波进行数字调制。

也因此,数字调制方法成为了当今的热点研究对象,其中最常用的一种是键控法。

在带通二进制键控系统中,每个码元只能传输1比特的信息,其频带利用率不高,而频率资源又是极其宝贵的,为了能提高频带利用率,最有效的办法是使一个码元能够传输多个比特的信息,这就是本文主要研究的多进制数字调制系统,包括多进制数字振幅调制(MASK)、多进制数字频率调制(MFSK)和多进制数字相位调制(MPSK)。

多进制键控系统可以看作是二进制键控系统的推广,可以大大提高频带利用率,而且因其抗干扰性能强、误码性能好,能更好的满足未来通信的高要求,所以研究多进制数字调制系统是很有必要的。

本文通过对多进制数字调制系统的研究,采用基于EP2C35F672C8芯片,运用VHDL硬件描述语言,完成了多功能调制器的模块化设计。

首先实现多进制数字振幅调制(MASK)、多进制数字频率调制(MFSK)和多进制数字相位调制(MPSK) 的设计,将时钟信号通过m序列发生器后产生随机的二进制序列,再通过串/并转换器转换成并行的多进制基带信号;其次分别实现数字调制模块2-M电平变换器、分频器以及四相载波发生器的设计;最后在顶层文件中调用并结合四选一多路选择器,从而完成多功能调制器的设计。

通信系统综合设计课件

通信系统综合设计课件
4PSK信号也可以采用正交调制的方式产生,正交调制器 原理图如图所示,它可以看成由两个载波正交的2PSK调制器 构成。
输入
串 /并
变换
逻 辑选 相 电路
45 °13 5°22 5°31 5° 四 相载 波 产 生器
带通 输出 滤 波器
相位选择法产生4PSK信号原理图
a ×
载波
cos ct
振荡
输 入 串 /并 变换
低通
抽样
×
滤波
判决
a
输入 带通 滤 波器
cos ct
sin ct
×
载波 恢复
位 定时
并 /串 变输 出 换
低通
抽样
滤波
判决
b
4PSK信号相干解调原理图
在2PSK信号相干解调过程中会产生180°相位模糊。同样, 对 4PSK 信 号 相 干 解 调 也 会 产 生 相 位 模 糊 问 题 , 并 且 是 0° 、 90°、180°和270°四个相位模糊。因此,在实际中更实用的 是四相相对移相调制,即4DPSK方式。
3. 4DPSK信号的产生与解调
4DPSK信号是利用前后码元之间的相对相位变化来表示数字 信息。若以前一双比特码元相位作为参考,Δφn为当前双比特 码元与前一双比特码元初相差,则信息编码与载波相位变化关 系如表 7 - 5 所示。 4DPSK信号产生原理图如图 7 - 45 所示。 图中,串/并变换器将输入的二进制序列分为速率减半的两个并 行序列a和b,再通过差分编码器将其编为四进制差分码, 然后 用绝对调相的调制方式实现4DPSK信号。
位有0、 、π和 别代表信息2 11、
3 01、2
(0或0和140。、图734-40、是548和PSK74信 )号矢,量它图们,分8

实验九qpsk调制与解调实验报告

实验九qpsk调制与解调实验报告

实验九Q P S K/O Q P S K调制与解调实验一、实验目的1、了解用CPLD进行电路设计的基本方法。

2、掌握QPSK调制与解调的原理。

3、通过本实验掌握星座图的概念、星座图的产生原理及方法,了解星座图的作用及工程上的作用。

二、实验内容1、观察QPSK调制的各种波形。

2、观察QPSK解调的各种波形。

三、实验器材1、信号源模块一块2、⑤号模块一块3、20M双踪示波器一台4、连接线若干四、实验原理(一)QPSK调制解调原理1、QPSK调制QPSK信号的产生方法可分为调相法和相位选择法。

用调相法产生QPSK信号的组成方框图如图12-1(a)所示。

图中,串/并变换器将输入的二进制序列依次分为两个并行的双极性序列。

设两个序列中的二进制数字分别为a和b,每一对ab称为一个双比特码元。

双极性的a和b脉冲通过两个平衡调制器分别对同相载波及正交载波进行二相调制,得到图12-1(b)中虚线矢量。

将两路输出叠加,即得如图12-1(b)中实线所示的四相移相信号,其相位编码逻辑关系如表12-1所示。

(a)(b)图12-1 QPSK调制2、QPSK解调图12-2 QPSK相干解调器由于四相绝对移相信号可以看作是两个正交2PSK信号的合成,故它可以采用与2PSK信号类似的解调方法进行解调,即由两个2PSK信号相干解调器构成,其组成方框图如图12-2所示。

图中的并/串变换器的作用与调制器中的串/并变换器相反,它是用来将上、下支路所得到的并行数据恢复成串行数据的。

(二)OQPSK调制解调原理OQPSK又叫偏移四相相移键控,它是基于QPSK的改进型,为了克服QPSK中过零点的相位跃变特性,以及由此带来的幅度起伏不恒定和频带的展宽(通过带限系统后)等一系列问题。

若将QPSK中并行的I,Q两路码元错开时间(如半个码元),称这类QPSK为偏移QPSK或OQPSK。

通过I,Q路码元错开半个码元调制之后的波形,其载波相位跃变由180°降至90°,避免了过零点,从而大大降低了峰平比和频带的展宽。

第五章—数字调制系统

第五章—数字调制系统
举例
4
2 二进制数字调制原理(ASK、FSK、PSK)
二进制幅移键控(ASK) 二进制频移键控(FSK) 二进制相移键控(PSK) 二进制差分相移键控(DPSK)
5
2 二进制幅移键控(ASK)
ASK信号的产生
模拟法
s(t) NRZ
e o ( t ) = s ( t ) cos w c t coswct
分别以 f1,f2 为中心的两个抽样函数平方频谱(连续谱),且
含有载频 f1 ,f2 的冲激谱(离散谱)
FSK信号传输带宽
BFSK

f1 f2

2
fs
=
n 2
Rb

2Rb
其 相中位f不s = 连T1s =续Rb的(码元FS速K率信) 号所需传输带宽为
BFSK
=
2 Tb
(3 ~ 5) 1 Tb
n
也可写成
ePSK (t) = s(t) coswct
=
ccoosswwctct
(传号"1") (空号"0")
k Ts k Ts
t t
(k (k
1)Ts 1)T1s8
19
2 二进制相移键控(PSK)(续)
PSK信号的功率谱特性
2PSK信号的功率谱密度采用与求2ASK信号功率谱密度相同 的方法。
PSK信号的功率谱密度为
1 PE ( f ) = 4 [Ps ( f fc ) Ps ( f fc )]
式中,Ps ( f ) 为基带信号s(t)的功率谱密度
当0、1等概出现时,双极性基带信号功率谱密度为
2
Ps
(
f
)

基于多进制数字的相位解调技术的分析

基于多进制数字的相位解调技术的分析
第3 2卷 第 2期 21 年 3 月 01
V l 2 No o_ . 3 2 Ma.0 1 r2 1
井冈山大学学报 ( 然科学版) 自 Jun l f ig a gh nUnv ri Na rl c n e o ra o n g n s a iesy( t a S i c) J t u e
解调和延迟解调两种分别进行 了较详细地分析 。 关键词 :数字调制 ;码元 ;比特 ;信噪 比 中图分类号 :T 1 N9 4 文献标识码 :A I : .9 9 .s . 7 - 0 5 0 1 20 8 3 1 3 6 /i n1 4 8 8 . 1. .1 OI 0 js 6 2 0
Ab t a t Th — r h s e d l t n a d d tc i n we e a a y e h sp p r T e sg a x r s i n f s r c : e M a y p a e d mo u a i n e e t r n l z d i t i a e . h i n le p e so s o o o n M -r h s d l t n we e i t d c d b s d o em ut b n i i l h s d l t n s se d 1 I h a y p a e mo u a i r nr u e a e n t l — a d d g t a e mo u a i y tm mo e. n t e o o h i a p o mu t b n i i l h s d lt n t e f u h s b o u e p a es i e i g a d t e f u h s ea i e p a e l — a d d g t a e mo u a i , h o rp a e a s l t h s h f k y n n r p a e r l t h s i a p o t h o v s i e i g we e r s e t e y a a y e n d t i h f k y n r e p ci l n l s d i ea l i al ,t e f u h s b o u e p a e s it k y n s t v .F n l y h r p a e a s l t h s h f e i g wa o

MQAM(M进制正交幅度调制)

MQAM(M进制正交幅度调制)

多进制数字频率调制MFSK
• MFSK非相干解调的抗噪声性能
Pe 1 Pk i
Pe xe
0
2 [( x 2 a 2 ) / n ]/ 2
M 1
相互独立
x 2 / 2 M 1
IO (
xa
n
)[1 (1 e
)
M 1 ]dx e 2 2
M 1 n0
3A 2A A O t 2 3 0 1
P
n
1
TB
多进制数字振幅调制MASK
• 带宽
–其功率谱密度为 PMASK(f)=1/4[G(f+fC)+G(f-fC)]
与2ASK一样,带宽 为基带信号的二倍 ,但可传送log2M信 息量。如要求传送 信息量相同,则可 加大TS,减小带宽
• 调制解调
1 2

M
逻 2 辑 电 路
M
f2

fM

门电路
信 道

带通fM

检波器
多进制数字频率调制MFSK
• 一般 MFSK 信号的相位不连续,它可看成是 M 个振幅相 同、载频不同、时间上互不相容的2ASK信号的叠加
• MFSK的信号带宽
一般定义为 BMKSK=fM-fL+Δ f fM为最高选用载频;fL为最低选用载频; Δ f为单个码元信号 的带宽。
• MFSK相干解调的抗噪声性能
Pe 1 2


e
1 a 2 ( x ) 2 n
1 u2 / 2 [1 ( )e du]M 1 dx ( M 1)Q( ) 2
x
多进制数字频率调制MFSK
Pe

QPSK 调制解调

QPSK 调制解调
四相绝对相移键控 QPSK是属于多进制数字相位调制,它是利用载波的四种不同相 位来表征输入的数字信息。目前广泛应用于数字微波通信系统,数字卫星通信系统,宽 带接入与移动通信,以及有线电视的上行传输。但四相相移键控信号,在码元交替处的 载波相位往往是突变的,当相邻的两个码元同时转换时,会出现±π的相位跳变,这会 使调相波的包络上出现零(交)点,其信号功率谱上将产生很强的旁瓣分量。因此从 QPSK方式派生出一种新的相位调制方式,交错四相相移键控(OQPSK)。即在 QPSK调 制的正交支路上引入一个比特(半个码元)的时延,使得两个支路的数据不会同时发生 变化,从而不可能产生±π的相位跳变,仅产±π/2的相位跳变。
关键词: 相移键控 四相移键控 QPSK调制 相干解调
I
×××大学本科毕业设计
QPSK Demodulation Experimental Design
Abstract:Indigitalcommunication,weoftenmodulatanddemodulat digital
signalbyFSKandPSKtotransmitdigitalinformation。 Nowadays,PSKoftenuse QPSK and NPSK. Any digital modulation scheme uses a finite number of distinct signals to represent digital data. PSK uses a finite number of phases, each assigned a unique pattern of binary digits. Usually, each phase encodes an equal number of bits. Each pattern of bits forms the symbol that is represented by the particular phase. The demodulator, which is designed specifically for the symbol-set used by the modulator, determines the phase of the received signal and maps it back to the symbol it represents, thus recovering the original data. This requires the receiver to be able to compare the phase of the received signal toareferencesignal— suchasystemistermedcoherent(andreferredtoas CPSK). This text is detailed on the concept,implement and principle of QPSK. It also detailed introduces the designedand realize of QPSK.

调制与解调信号课程设计

调制与解调信号课程设计

调制与解调信号课程设计一、课程目标知识目标:1. 学生能够理解调制与解调信号的基本概念,掌握不同类型的调制方法及其原理;2. 学生能够描述调制与解调信号在通信系统中的作用和重要性;3. 学生能够运用数学表达式和图形来表示调制与解调过程。

技能目标:1. 学生能够运用所学知识,设计简单的调制与解调电路,并进行仿真实验;2. 学生能够分析调制与解调信号的特点,解释其在实际通信系统中的应用;3. 学生能够运用相关工具和软件进行调制与解调信号的观察、分析和调试。

情感态度价值观目标:1. 学生能够认识到调制与解调技术在现代通信领域的重要地位,增强对通信科学的兴趣和好奇心;2. 学生通过合作学习和实践操作,培养团队协作意识,提高问题解决能力和创新思维;3. 学生能够关注通信技术对社会发展的积极影响,树立正确的科学价值观。

课程性质:本课程属于电子信息类学科,以理论教学和实践操作相结合的方式进行。

学生特点:学生具备一定的电子基础和数学知识,对通信原理有一定的了解,但实践经验不足。

教学要求:注重理论与实践相结合,提高学生的动手能力和实际问题解决能力,培养学生对通信技术的兴趣和热情。

通过具体的学习成果分解,使学生在课程结束后能够达到上述目标。

二、教学内容本章节教学内容主要包括以下几部分:1. 调制与解调信号基本概念:- 调制信号的分类(模拟调制、数字调制)- 解调信号的分类(同步解调、异步解调)2. 常见调制方法及其原理:- 幅度调制(AM)、频率调制(FM)、相位调制(PM)- 二进制数字调制(ASK、FSK、PSK、QAM)3. 调制与解调信号在通信系统中的应用:- 调制解调器工作原理- 调制技术在无线电广播、电视、卫星通信等领域的应用4. 调制与解调电路设计及仿真:- 搭建调制与解调电路- 使用Multisim、MATLAB等软件进行仿真实验5. 教学内容的安排与进度:- 第一周:调制与解调信号基本概念,调制信号分类- 第二周:常见调制方法及其原理,教材第二章- 第三周:调制与解调信号在通信系统中的应用,教材第三章- 第四周:调制与解调电路设计及仿真,教材第四章教学内容根据课程目标进行科学性和系统性地组织,注重理论与实践相结合,使学生能够逐步掌握调制与解调信号相关知识,提高实际操作能力。

第17讲 多进制数字调制原理

第17讲 多进制数字调制原理

相加 电路
s(t)
b
相乘 电路
图7-37 第一种QPSK信号产生方法
通信原理
第6章 数字带通传输系统
码元串并变换: 0
0 1
1 2 3 4 (a) 输入基带码元
2 4 (b) 并行支路a码元
5
t t t
3 5 (c) 并行支路b码元 图7-38 码元串/并变换
通信原理
第6章 数字带通传输系统
矢量图:
f1 00
f2 01
f3 10
f4 11
(b) 4FSK信号的取值
通信原理
第6章 数字带通传输系统
• MFSK信号的带宽: B = fM - f1 + f 式中, f1 - 最低载频 fM - 最高载频 f - 单个码元的带宽
通信原理
第6章 数字带通传输系统
• MFSK非相干解调器的原理方框图:
通信原理
第6章 数字带通传输系统
– 正交相移键控(QPSK) • 4PSK常称为正交相移键控(QPSK) • 格雷(Gray)码 – 4PSK信号每个码元含有2 比特的信息,现用ab代表 这两个比特。 – 两个比特有4种组合,即00、01、10和11。它们和 相位k之间的关系通常都按格雷码的规律安排,如 下表所示。 QPSK信号的编码: a 0 0 1 1 b 0 1 1 0
01 10 11 11
10
01 00 00
10 t
0
(c) 基带多电平双极性不归零信号
11 01 00 00 11
01
10
10
10
0
t
(d) 抑制载波MASK信号
通信原理
第6章 数字带通传输系统
6.5.2 多进制频移键控(MFSK)

通信原理课件——数字调制系统

通信原理课件——数字调制系统

② 2DPSK信号的解调
——
极性比较—码变换法即是2PSK解调加差分译码,其方框图如(a) 原理:2DPSK解调器将输入的2DPSK信号还原成相对码{bn},再由差分译码器把 相对码转换成绝对码,输出{an},从而恢复发送的信息。在次过程中,若相干
载波产生1800模糊,会发生“反向工作”现象。但是经过码反变换器后,输出的 绝对码不会发生任何倒置现象。
根据题中已知条件,码元传输速率为1000B,“1”码元的载 波频率为3000Hz,“0”码元的载波频率为2000Hz。因此, 在2FSK信号的时间波形中,每个“1”码元时间内共有3个 周期的载波,每个“0”码元时间内共有两个周期的载波。
数字基带信号s(t)和2FSK信号的时间波形如图:
(2)2FSK信号是一种非线性调制信号,其功率谱结构可以近似看成是两 个2ASK信号频谱的叠加。
n
n
n1
(2) 2PSK和2DPSK信号的调制
模拟调相法:原理框图如图所示,码变换器(即差分编码器)是用来完成绝
对码波形到相对码波形变换的,去掉码变换器,则可进行2PSK信号的调制。
(3) 2PSK和2DPSK信号的解调 ① 2PSK信号的解调
——
2PSK信号的解调只能采用相干解调的方法,其方框图及波形如图所示。
2. 二进制频移键控(2FSK)
数字频率调制又称频移键控,记作FSK(Frequency Shift Keying), 二进制频移键控记作2FSK。
(1) 2FSK信号的调制方法:
前面已提到,2FSK信号可以采用模拟调频法和数字键控法来产生。
模拟调频法:用数字基带矩形脉冲控制一个振荡器的某些参数(例如电
3. 二进制相移键控及二进制差分相位键控

数字相位调制

数字相位调制

四相相移键控调制 (QPSK)
图2-21
QPSK信号相位矢量图
四相相移键控调制 (QPSK)
图2-22 QPSK正交调制器
四相相移键控调制 (QPSK)
由图2-22可见,它把二进制双极性不归零数据序列首先经串/ 并变换分成奇偶两路,即将二进制数据每两比特分为一组, 共有四种组合:-1-1,-1+1,+1-1和+1+1,每路的码元宽度 Tb扩展为2 Tb , 如表2-6所示。其中一路数据送入Q信道,对载波- sin ω t 进 c 行二相调制;而另一路数据送入I信道,对载波 进行 cos ωc t 二相调制;两个二相信号相加得到四相PSK信号。 这样,QPSK信号的相位就有四种可能的取值;且由于两个 信道上的数据沿对齐,所以在码元转化时刻上QPSK信号的 相位,可能产生±90º突变(当两个信道上只有一路数据改 变极性时),也可能产生± 180º突变(当两个信道上数据 同时改变极性时),而且每隔2Tb跳变一次。 其星座图及相位转移图示于图2-23(a)中。
I π
0
Q
3π / 2 π /2
7π / 4 5π / 4 3π / 4 π /4
QPSK
±
π
2
±π
四相相移键控调制 (QPSK)
图2-23 QPSK和OQPSK的星座图和相位转移图
四相相移键控调制 (QPSK)
I、Q路误码率分别为
PeI

PeQ
1 都是BPSK信号的误码率: erfc r 2
其中
四相相移键控调制 (QPSK)
为了减小传输信号频带,提高信道频带利用率,可以将二进 制数据变换为多进制(即M进制)数据来传输。用M进制数 据控制载波的相位,就是M相相移键控。当M=4时,就是四 相相移键控(QPSK)调制。 在QPSK系统中,载波相位共有四个可能的取值,其相位矢 量图如图2-21所示。 以参考相位为基准,本图(a)中的已调波相位取的π/4奇 数倍,即取(2n+1) π/4 ,因此称为π/4系统QPSK;本图 (b)中的已调波相位取π/4的整数倍,即取n π/2 ,因此 称它为π/2系统QPSK。 由图可见,无论哪种系统,QPSK信号都可看成是载波相互 正交的两个二相PSK信号之和。

多进制数字调制(二)

多进制数字调制(二)

时,频带利用率为
1
1
log2
M (b
/
s
/
Hz)
两种形状MQAM 的比较
• 方型与星型MQAM
(- 3 ,3 ) (- 3 ,1 )
(3 ,3 ) (3 ,1 )
(- 3 ,- 3 )
(- 1 ,- 1 ) (- 1 ,1 )
(3 ,- 3 )
(a)
(0 ,4 .6 1)
(0 ,2 .6 1)
恒定包络调制
• 如果每个符号包络是矩形 的,则已调信号包络是恒
Àí Ïë µÄ QPSKÐÅ ºÅ
定的,但此时已调信号频
t
谱→∞。
– 实际信道是限带的,限带后如
QPSK信号已不能保持恒包络。 – 相邻符号间发生180º相移时,经
ÂË ²¨ºó µÄ QPSKÐÅ ºÅ
限带后会出现包络为0的现象
t
• 经非线性放大后,包络中的起伏
n
MAPK信号 可以看作两 个正交调制
信号之和
-[ An g(t-nTS ) sinn ]sinCt
n
sAPK [ X ng(t nTS )]cosC t
n
-[ Yn g(t nTS )]sinCt
n
正交振幅调制 QAM
• 正交振幅调制QAM原理
– 用两个独立的基带波形对两个互相正交的同频载 波进行抑制载波的双边带调制(DSB-SC),利用这
y
16PSK
x
16QAM
y x
16PSK
QAM:正交幅度调制 APK:幅度相位联合键控
16QAM
y
16APK
x
16APK
振幅相位联合调制系统

通信原理多进制数字调制系统

通信原理多进制数字调制系统
制序列 接收到两位信息 后,同时送到两 个支路,每个支 路各送一位
电平变 换器
电平变 换器
Q(t) bn g(t nTs )
n
I (t)
同相支路
cos 2fct
相移 900
Q(t) sin 2fct
正交支路
MPSK信号
5.5.3 多进制相移键控
二、QPSK信号的波形
3 (01) Y
种可能取值的多电平基 带信号对载波幅度进行
t
键控而得到的信号。
•特点:在相同信道传 t 输速率下M电平调制与
二电平调制具有相同的 信号带宽。
t
5.5.1 多进制振幅键控
二、MASK的数学表达式
eMASK t an gt nTs cosct
n
基带 信号 波形
码元 间隔
A1,
研究对象:多进制数字调制系统 研究目的:在信道频带受限时多进制数字调制是如
何增加信息的传输速率(即比特率),提高系统的 频带利用率从而提高数字传输系统有效性,或者通 过牺牲频带资源从而提高系统可靠性的。 研究方法:原理及抗噪声性能结论
5.5 多进制数字调制系统
定义:在码元间隔0≤t≤TS内,可能发送的码元有 M种:si(t),i=1,2,,M。实际应用中,通 常取M=2k(k>1为整数)。
-+-
输入 电平 输出
输入 1
0
1
0
t
变换
输出
t
5.5.3 多进制相移键控
QPSK调制器方框图
串/并变 输入二进 换器
制序列 接收到两位信息 后,同时送到两 个支路,每个支 路各送一位
电平变
an 换器

FSK信号调制与解调技术【范本模板】

FSK信号调制与解调技术【范本模板】

1 引言1。

1 研究的背景与意义现代社会中人们对于通信设备的使用要求越来越高,随着无线通信技术的不断发展,人们所要处理的各种信息量呈爆炸式地增长.传统的通信信号处理是基于冯·诺依曼计算机的串行处理方式,利用传统的冯·诺依曼式计算机来进行海量信息处理的话,以现有的技术,是不可能在短时间内完成的.而具于并行结构的信息处理方式为提高信息的处理速度提供了一个新的解决思路。

随着人们对于通信的要求不断提高,应用领域的不断拓展,通信带宽显得越来越紧张.人们想了很多方法,来使有限的带宽能尽可能的携带更多的信息。

但这样做会出现一个问题,即:信号调制阶数的增加可以提升传送时所携带的信息量,但在解调时其误码率也相应显著地提高。

信息量不断增加的结果可能是,解调器很难去解调出本身所传递的信息。

如果在提高信息携带量的同时,能够找到一种合适的解调方式,将解调的误码率控制在允许的范围内,同时又不需要恢复原始载波信号,从而降低解调系统的复杂程度,那将是很好的。

通信技术在不断地发展,在现今的无线、有线信道中,有很多信号在同时进行着传递,相互之间都会有干扰,而强干扰信号也可能来自于其它媒介。

在军事领域,抗干扰技术的研究就更为必要。

我们需要通信设备在强干扰地环境下进行正常的通信工作。

目前常用的通信调制方法有很多种,如FSK、QPSK、QAM等。

在实际的通信工程中,不同的调制制式由于自身的特点而应用于不同场合,而通信中不同的调制、解调制式就构成了不同的系统。

如果按照常规的方法,每产生一种信号就需要一个硬件电路,甚至一个模块,那么要使一部发射机产生几种、几十种不同制式的通信信号,其电路就会异常复杂,体积重量都会很大。

而在接收机部分,情况也同样是如此,即对某种特定的调制信号,必须有一个特定的对应模块电路来对该信号进行解调工作。

如果发射端所发射的信号调制方式发生改变,这一解调模块就无能为力了。

实际上,随着通信技术的进步和发展,现代社会对于通信技术的要求越来越高,比如要求通信系统具有最低的成本、最高的效率,以及跨平台工作的特性,如PDA、电脑、手机使用时所要求的通用性、互连性等。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

目录摘要 (I)Abstract (II)1 引言 (1)2 MPSK调制解调的原理 (2)2.1 MPSK调制原理 (2)2.2 4PSK信号产生 (3)2.3 4PSK信号的解调原理 (3)3 MPSK调制电路VHDL程序及仿真 (6)3.1 FPGA中MPSK的实现 (6)3.2 VHDL程序设计方法 (7)3.4仿真结果及分析 (8)4 MPSK解调程序及仿真结果 (10)4.1解调VHDL程序 (10)4.2 MPSK解调仿真结果 (12)5 心得体会 (13)6 参考文献 (14)摘要多进制数字相位调制也称多元调相或多相制。

它利用具有多个相位状态的正弦波来代表多组二进制信息码元。

本论文在FPGAP(Field-rogrammable Gate Array,现场可编程门阵列)上实现MPSK(多进制相移键控)调制解调的功能。

运用VHDL硬件描述语言进行编程,对整个MPSK系统进行仿真,得到仿真时序图,对程序代码进行XST综合,得到RTL视图。

仿真结果表明该设计的正确性以及可行性,更清晰直观的了解到MPSK调制解调的原理。

关键词:MPSK;FPGA实现;VHDL语言AbstractMulti-band digital phase modulation, also known as multi-phase or multiphase system. It is a sine wave having a plurality of phase states to represent a plurality of sets of binary information symbols. In this paper, to achieve MPSK (M-ary Phase Shift Keying) modulation and demodulation functions FPGAP (Field-rogrammable Gate Array, a field programmable gate array) on. Using VHDL hardware description language programming for the entire MPSK system simulation, simulation timing diagram of the program code XST synthesis, get RTL view. Simulation results show the correctness and feasibility of the design, intuitive to understand more clearly the principle of MPSK modulation and demodulation.Keywords: MPSK; FPGA realization; VHDL language1 引言FPGA(Field Programmable Gate Array)现场可编程逻辑门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。

它是作为专用集成电路(ASIC) 领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。

它是当今数字系统设计的主要硬件平台,其主要特点就是完全由用户通过软件进行配置和编程,从而完成某种特定的功能,且可以反复擦写。

在修改和升级时,不需额外地改变PCB 电路板,只是在计算机上修改和更新程序,使硬件设计工作成为软件开发工作,缩短了系统设计的周期,提高了实现的灵活性并降低了成本。

数字调制技术是现代通信系统中的关键技术之一,调制器性能的优劣将直接影响通信质阜的好坏。

用可编程逻辑器件通过对器件内部的设计来实现系统功能,是一种基于芯片的设计方法。

将可编程逻辑器件应用于数字通信系统的调制解调,可大大减轻电路设计和电路板设计的丁作量和难度,有效地增强设计的灵活性,提高工作效率。

本文研究了基于FPGA的MPSK调制电路的实现方法,并给出了仿真结果。

2 MPSK 调制解调的原理2.1 MPSK 调制原理多进制数字相位调制也称多元调相或多相制。

它利用具有多个相位状态的正弦波来代表多组二进制信息码元,即用载波的一个相位对应于一组二进制信息码元。

如果载波有2^k 个相位,它可以代表 k 位二进制码元的不同码组。

多进制相移键控也分为多进制绝对相移键控和多进制相对(差分)相移键控。

在MPSK 信号中,载波相位可取M 个可能值,因此,MPSK 信号可表示为假定载波频率是基带数字信号速率的整数倍,则上式可改写为:()()cos()cos cos ()sin sin ()MPSK b c n c n b c n b n n n s t g t nT w t w t g t nT w t g t nT ϕϕϕ=-+=---∑∑∑上式中,g(t)是高度为1,宽度为Tb 的门函数,Tb 为M 进制码元的持续时间,亦即k (k =2log M )比特二进制码元的持续时间,n ϕ为第n 个码元对应的相位,共有M 种不同取值,令:()cos ()n b n I t g t nT ϕ=-∑,()sin ()n b n Q t g t nT ϕ=-∑这样可得: ()()cos ()sin MPSK c c s t I t w t Q t w t =-。

上式表明,MPSK 信号可等效为两个正交载波进行多电平双边带调幅所得已调波之和。

因此其带宽与MASK 信号带宽相同,带宽的产生也可按类似于产生双边带正交调制信号的方式实现。

下面以四相相位调制为例进行讨论。

四相调相信号是一种四状态符号,即符号有00、01、10、11四种状态。

所以,对于输入的二进制序列,首先必须分组,每两位码元一组。

然后根据组合情况,用载波的四种相位表征它们。

这种由两个码元构成一种状态的符号码元称为双比特码元。

同理,k位二进制码构成一种状态符号的码元则称为k比特码元。

2.2 4PSK信号产生四相PSK(4PSK)信号实际是两路正交双边带信号。

串行输入的二进制码,两位分成一组。

若前一位用A表示,后一位用B表示,经串/并变换后变成宽度加倍的并行码(A、B码元在时间上是对齐的)。

再分别进行极性变换,把单极性码变成双极性码,然后与载波相乘,形成正交的双边带信号,加法器输出形成4PSK信号。

显然,此系统产生的是π/4系统PSK信号。

如果产生π/2系统的PSK信号,只需把载波移相π/4后再加到乘法器上即可。

图1系统4PSK信号的产生原理框图2.3 4PSK信号的解调原理因为 4 PSK信号是两个正交的2 PSK信号的合成,所以可仿照 2 PSK信号的相干解调方法,用两个正交的相干载波分别检测A和B两个分量,然后还原成串行二进制数字信号,即可完成4 PSK信号的解调。

此法是一种正交相干解调法,又称极性比较法,原理图在如下图2 系统4PSK信号解调原理框图为了分析方便,可不考虑噪声的影响。

这样,加到接收机上的信号在符号持续内可时间表示两路乘法器的输出分别为LPF输出分别是根据π/4移相系统PSK信号的相位配置规定,抽样判决器的判决准则表在下页。

当判决器按极性判决时,若正抽样值判为1,负抽样值判为0,则可将调相信号解调为相应的数字信号。

解调出的A和B再经并/串变换,就可还原出原调制信号。

若解调π/2移相系统的PSK信号,需改变移相网络及判决准则。

表1 π/4 系统判决器判决准则3 MPSK 调制电路VHDL 程序及仿真3.1 FPGA 中MPSK 的实现图3 MPSK 调制方框图注:电路符号图中没有包含模拟电路部分,输出信号为数字信号。

基带信号通过串/并转换器xx 得到2位并行信号yy ;四选一开关根据yy 的数据,选择载波对应的相位进行输出,即得调制信号y 。

--文件名:MPSK--功能:基于VHDL 硬件描述语言,对基带信号进行MPSK 调制--说明:调制信号说明如下表所示。

FPGAclk start 基带信号 分频 0° 90° 180° 270°串并转换 四选一开关 调制信号表2 调制信号与相位对应表3.2 VHDL程序设计方法library ieee;use ieee.std_logic_arith.all;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity MPSK isport(clk :in std_logic; --系统时钟start :in std_logic; --开始调制信号x :in std_logic; --基带信号y :out std_logic); --调制信号end MPSK;architecture behav of MPSK issignal q:integer range 0 to 7; --计数器signal xx:std_logic_vector(1 downto 0);--中间寄存器signal yy:std_logic_vector(1 downto 0);--2位并行码寄存器signal f:std_logic_vector(3 downto 0); --载波fbeginprocess(clk)--通过对clk分频,得到4种相位;并完成基带信号的串并转换beginif clk'event and clk='1' thenif start='0' then q<=0;elsif q=0 then q<=1;f(3)<='1'; f(1)<='0'; xx(1)<=x;yy<=xx;elsif q=2 then q<=3;f(2)<='0'; f(0)<='1';elsif q=4 then q<=5;f(3)<='0'; f(1)<='1'; xx(0)<=x;elsif q=6 then q<=7;f(2)<='1'; f(0)<='0';else q<=q+1;end if;end if;end process;y<=f(0) when yy="11" elsef(1) when yy="10" elsef(2) when yy="01" elsef(3); --根据yy寄存器数据,输出对应的载波end behav;3.4仿真结果及分析图4 MPSK调制VHDL程序仿真全图图5 MPSK调制VHDL程序仿真局部放大图1图6 MPSK调制VHDL程序仿真局部放大图2从仿真结果我们可以看出MPSK数字调制的输出与输入相比存在明显的延迟,4PSK中每两位二进制码元组成一个四进制码元,对应一个相应的输出相位。

相关文档
最新文档