数电模电笔试题及答案

数电模电笔试题及答案

【篇一:模电数电基础笔试总结】

txt>1、基本放大电路种类(电压放大器,电流放大器,互导放大器

和互阻放大器),优缺点,特别是广泛采用差分结构的原因。

2、负反馈种类(电压并联反馈,电流串联反馈,电压串联反馈和电流并联反馈);负反馈的优点(降低放大器的增益灵敏度,改变输

入电阻和输出电阻,改善放大器的线性和非线性失真,有效地扩展

放大器的通频带,自动调节作用)

3、基尔霍夫定理的内容是什么?

基尔霍夫定律包括电流定律和电压定律。

电流定律:在集总电路中,任何时刻,对任一节点,所有流出节点

的支路电流代数和恒等于零。电压定律:在集总电路中,任何时刻,沿任一回路,所有支路电压的代数和恒等于零。

4、描述反馈电路的概念,列举他们的应用?

反馈,就是在电子系统中,把输出回路中的电量输入到输入回路中去。

反馈的类型有:电压串联负反馈、电流串联负反馈、电压并联负反馈、电流并联负反馈。负反馈的优点:降低放大器的增益灵敏度,

改变输入电阻和输出电阻,改善放大器的线性和非线性失真,有效

地扩展放大器的通频带,自动调节作用。

电压(流)负反馈的特点:电路的输出电压(流)趋向于维持恒定。

5、有源滤波器和无源滤波器的区别?

无源滤波器:这种电路主要有无源元件r、l和c组成

有源滤波器:集成运放和r、c组成,具有不用电感、体积小、重量

轻等优点。

集成运放的开环电压增益和输入阻抗均很高,输出电阻小,构成有

源滤波电路后还具有一定的电压放大和缓冲作用。但集成运放带宽

有限,所以目前的有源滤波电路的工作频率难以做得很高。

6、基本放大电路的种类及优缺点,广泛采用差分结构的原因。

答:基本放大电路按其接法的不同可以分为共发射极放大电路、共

基极放大电路和共集电极放大电路,简称共基、共射、共集放大电路。

共射放大电路既能放大电流又能放大电压,输入电阻在三种电路中居中,输出电阻较大,频带较窄。常做为低频电压放大电路的单元电路。

共基放大电路只能放大电压不能放大电流,输入电阻小,电压放大倍数和输出电阻与共射放大电路相当,频率特性是三种接法中最好的电路。常用于宽频带放大电路。

共集放大电路只能放大电流不能放大电压,是三种接法中输入电阻最大、输出电阻最小的电路,并具有电压跟随的特点。常用于电压放大电路的输入级和输出级,在功率放大电路中也常采用射极输出的形式。

广泛采用差分结构的原因是差分结构可以抑制零点漂移现象。

? 7、二极管主要用于限幅,整流,钳位.

? 判断二极管是否正向导通:

1.先假设二极管截止,求其阳极和阴极电位;

2.若阳极阴极电位差> ud ,则其正向导通;

3.若电路有多个二极管,阳极和阴极电位差最大的二极管优先导通;其导通后,其阳极阴极电位差被钳制在正向导通电压(0.7v 或0.3v );再判断其它二极管.

【例1】下图中,已知v=3v, v=0v, d 、d为锗管,求输出端y 的电位,并说明每个二极管的作用。

abab

a解: da优先导通,则

vy=3–0.3=2.7v

da导通后,db因反偏而截止,起隔离作

用,da起钳位作用,将y端的电位钳制

在+2.7v。

y

数字电路(基本概念和知识总揽)

1、数字信号:指的是在时间上和数值上都是离散的信号;即信号在时间上不连续,总是发生在一序列离散的瞬间;在数值上量化,只能按有限多个增量或阶梯取值。(模拟信号:指在时间上和数值上都是连续的信号。)

2、数字电路主要研究电路输入、输出状态之间的相互关系,即逻辑关系。分析和设计数字

电路的数学工具是逻辑代数,由英国数学家布尔1849年提出,因此也称布尔代数。

3、逻辑代数有三种最基本的运算:与、或、非。基本逻辑的简单组

合称为复合逻辑。

4、逻辑代数三个基本规则:代入规则、反演规则和对偶规则。

5、化简电路是为了降低系统的成本,提高电路的可靠性,以便使用

最少集成电路实现功能。

6、把若干个有源器件和无源器件及其导线,按照一定的功能要求制

作在同一块半导体芯片上,这样的产品叫集成电路。最简单的数字

集成电路就是集成逻辑门,以基本逻辑门为基础,可构成各种功能

的组合逻辑电路和时序逻辑电路。

7、ttl门电路:是目前双极型数字集成电路使用最多的一种,由于

输入端和输出端的结构形成都采用了半导体三极管,所以也称晶体

管-晶体管逻辑门电路。ttl与非门是ttl门电路的基本单元。最常用的集成逻辑门电路ttl门和cmos门。

问题集锦

1、同步电路和异步电路的区别是什么?

同步电路:存储电路中所有触发器的时钟输入端都接同一个时钟脉

冲源,因而所有触发器的状态的变化都与所加的时钟脉冲信号同步。异步电路:电路没有统一的时钟,有些触发器的时钟输入端与时钟

脉冲源相连,这有这些触发器的状态变化与时钟脉冲同步,而其他

的触发器的状态变化不与时钟脉冲同步。

2、什么是线与逻辑,要实现它,在硬件特性上有什么具体要求?

将两个门电路的输出端并联以实现与逻辑的功能成为线与。

在硬件上,要用oc门来实现,同时在输出端口加一个上拉电阻。

由于不用oc门可能使灌电流过大,而烧坏逻辑门。

3、解释setup和hold time violation,画图说明,并说明解决办法。

setup/hold time是测试芯片对输入信号和时钟信号之间的时间要求。建立时间是指触发器的时钟信号上升沿到来以前,数据稳定不变的

时间。输入信号应提前时钟上升沿(如上升沿有效)t时间到达芯片,这个t就是建立时间-setup time.如不满足setup time,这个数据就不能被这一时钟打入触发器,只有在下一个时钟上升沿,数据才能被

打入触发器。

保持时间是指触发器的时钟信号上升沿到来以后,数据稳定不变的

时间。如果hold time不够,数据同样不能被打入触发器。

建立时间(setup time)和保持时间(hold time)。建立时间是指在时钟边沿前,数据信号需要保持不变的时间。保持时间是指时钟跳变边沿后数据信号需要保持不变的时间。如果数据信号在时钟沿触发前后持续的时间均超过建立和保持时间,那么超过量就分别被称为建立时间裕量和保持时间裕量。

4、什么是竞争与冒险现象?怎样判断?如何消除?(汉王笔试)在组合逻辑中,由于门的输入信号通路中经过了不同的延时,导致到达该门的时间不一致叫竞争。

产生毛刺叫冒险。判断方法:代数法、图形法(是否有相切的卡诺圈)、表格法(真值表)。如果布尔式中有相反的信号则可能产生竞争和冒险现象。

解决方法:一是添加布尔式的消去项;二是在芯片外部加电容;三是加入选通信号。

5、名词:sram、ssram、sdram:(sram:静态ram;dram:动态ram;ssram:synchronous static random access memory 同步静态随机访问存储器。它的一种类型的sram。ssram的所有访问都在时钟的上升/下降沿启动。地址、数据输入和其它控制信号均于时钟信号相关。这一点与异步sram不同,异步sram的访问独立于时钟,数据输入和输出都由地址的变化控制。sdram:synchronous dram同步动态随机存储器

6、和asic的概念,他们的区别。(未知)

答案:fpga是可编程asic。 asic:专用集成电路,它是面向专门用途的电路,专门为一个用户设计和制造的。根据一个用户的特定要求,能以低研制成本,短、交货周期供货的全定制,半定制集成电路。与门阵列等其它asic(application specific ic)相比,它们又具有设计开发周期短、设计制造成本低、开发工具先进、标准产品无需测试、质量稳定以及可实时在线检验等优点。

7、单片机上电后没有运转,首先要检查什么?

a、首先应该确认电源电压是否正常。用电压表测量接地引脚跟电源引脚之间的电压,看是否是电源电压,例如常用的5v。

b、接下来就是检查复位引脚电压是否正常。分别测量按下复位按钮和放开复位按钮的电压值,看是否正确。

c、然后再检查晶振是否起振了,一般用示波器来看晶振引脚的波形;经过上面几点的检查,一般即可排除故障了。如果系统不稳定的话,有时是因为电源滤波不好导致的。在单片机的电源引脚跟地引脚之间接上一个0.1uf的电容会有所

改善。如果电源没有滤波电容的话,则需要再接一个更大滤波电容,例如220uf的。遇到系统不稳定时,就可以并上电容试试(越靠近

芯片越好)。

8、什么是同步逻辑和异步逻辑?(汉王笔试)

同步逻辑是时钟之间有固定的因果关系。异步逻辑是各时钟之间没

有固定的因果关系。

9、你知道那些常用逻辑电平?ttl与coms电平可以直接互连吗?(汉王笔试)

常用逻辑电平:12v,5v,3.3v;ttl和cmos不可以直接互连,由

于ttl是在0.3-3.6v之间,而cmos则是有在12v的有在5v的。cmos输出接到ttl是可以直接互连。ttl接到cmos需要在输出端口

加一上拉电阻接到5v或者12v。

10、如何解决亚稳态。(飞利浦-大唐笔试)

答:亚稳态是指触发器无法在某个规定时间段内达到一个可确认的

状态。当一个触发器进入亚稳态时,既无法预测该单元的输出电平,也无法预测何时输出才能稳定在某个正确的电平上。在亚稳态期间,触发器输出一些中间级电平,或者可能处于振荡状态,并且这种无

用的输出电平可以沿信号通道上的各个触发器级联式传播下去。解

决方法主要有:(1) 降低系统时钟;(2) 用反应更快的ff;(3) 引入同

步机制,防止亚稳态传播;(4) 改善时钟质量,用边沿变化快速的时

钟信号;(5) 使用工艺好、时钟周期裕量大的器件。 11、锁存器、触发器、寄存器三者的区别。

触发器:能够存储一位二值信号的基本单元电路统称为“触发器”。

锁存器:一位触发器只能传送或存储一位数据,而在实际工作中往

往希望一次传送或存储多位数据。为此可把多个触发器的时钟输入

端cp连接起来,用一个公共的控制信号来控制,而各个数据端口仍

然是各处独立地接收数据。这样所构成的能一次传送或存储多位数

据的电路就称为“锁存器”。

寄存器:在实际的数字系统中,通常把能够用

来存储一组二进制代码的同步时序逻辑电路称为寄存器。由于触发

器内有记忆功能,因此利用触发器可以方便地构成寄存器。由于一

个触发器能够存储一位二进制码,所以把n个触发器的时钟端口连

接起来就能构成一个存储n位二进制码的寄存器。

区别:从寄存数据的角度来年,寄存器和锁存器的功能是相同的,

它们的区别在于寄存器是同步时钟控制,而锁存器是电位信号控制。

可见,寄存器和锁存器具有不同的应用场合,取决于控制方式以及控制信号和数据信号之间的时间关系:若数据信号有效一定滞后于控制信号有效,则只能使用锁存器;若数据信号提前于控制信号到达并且要求同步操作,则可用寄存器来存放数据。

综合类问题考查

1、二极管的导通时的压降。答:0.7v。

2、三极管的工作条件。答:b极(基极)在有一定的电压时,发射极电压应该在0.3v以上。

3、ttl电平的电压值。答:5v上下浮动10%,即—5.5v。

4、电路分析主要讲的是什么,或者是围绕着什么讲的?答:两个定理,即基尔霍夫电压定理,基尔霍夫电流定理。

5、数字信号处理的实质。

答:数字算法或数学算法。通过数学或数字算法实现频谱搬移,从而达到滤波的效果。

6、单片机总线。

答:数据总线、控制总线、地址总线(三总线)。p0口为i/o口,即可以是数据线,也可以是地址线,倘若都要使用时,要用锁存器将二者分开,做地址线时,充当地址线的低8位,高8位由p2口充当。

7、晶振的接法或分类。

答:内接晶振和外接晶振。晶振与口线的距离越近越好。否则,会对其他部分造成高频干扰。

8、键盘与控制器(或者是单片机)连接时是如何工作的?

答:通过控制器(或者是单片机)对键盘扫描,即:通过键盘与控制器相连导线上的电平值来判断按下的键盘,从而判断相应的键盘值,通过中断,调用相应的中断服务子程序。一般是通过键盘的行扫描和列扫描判断键盘。

9、通信的三种解调方式。答:调频、调相、调幅。10、语音信号的范围和传输比特。

3400赫兹,取上限频率。一般取4000赫兹,有抽样定理可知pcm 编码调制,即位8段,因此传输比特为64k。(顺13折线,日本、美国用的是u律,15折线)

11、2m带宽。答:语音传输是64k,中国用的是30/32线路系统,64k*32=2048k,即为我们所说的2m.

12、无线传输为什么都是用的高频。

答:从客观上来说,使用的频段是已经订好的,常用的是80m—120m。从专业角度上来分析,是因为在高频段上能提供较为理想的信道,达到信息良好的传输和带宽的资源有效利用,而且这样所提供的信道带宽也比较宽。

13、cdma技术。

答:cdma技术是码分多址技术,是无线通讯产品和服务的新时代率先开发的、用于提供十分清晰的语音效果的数字技术。通过利用数字编码扩谱无线电频率技术,cdma能够提供比其他无线技术更好的、成本更低的语音效果、保密性、系统容量和灵活性,以及更加完善的服务。

14、cdma的工作。

答:cdma利用扩谱技术将语音分解成数字化的小片断,然后进行编码,以区别每个电话。因而,大量的用户能够共享相同的频谱,从而大大提高系统的性能。也就是说,cdma使无线服务提供商将更多的数字化信号挤压到一定的无线网络片断中去。

15、常用的信道复用技术。

答:频分多路复用(fdm),时分多路复用(tdm),频分多址 (fdma),时分多址(tdma),码分多址(cdma)。

16、单片机对系统的滤波。

答:单片机对系统只能实现数字滤波,即通过一种数字算法对系统进行滤波。常用的有中值滤波,平滑滤波,程序滤波等。

单片机硬件工程师面试试题

一、现代通讯网络中广泛使用的交换方式有那两种?分组和电路二.通常所说的tcp/ip协议对应于osi模型的哪层?你认为网络模型分层有什么好处?如果让你来制订网络体系架构,你认为应该遵循什么原则?

第四(传输)和第三(网络);方便调试和实现;分层实现

【篇二:模电数电题面试题集锦】

尔霍夫定理的内容是什么?

基尔霍夫定律包括电流定律和电压定律

电流定律:在集总电路中,任何时刻,对任一节点,所有流出节点的支路电流的代数和恒等于零。

电压定律:在集总电路中,任何时刻,沿任一回路,所有支路电压的代数和恒等于零。

2、描述反馈电路的概念,列举他们的应用。

反馈,就是在电子系统中,把输出回路中的电量输入到输入回路中去。

反馈的类型有:电压串联负反馈、电流串联负反馈、电压并联负反馈、电流并联负反馈。负反馈的优点:降低放大器的增益灵敏度,

改变输入电阻和输出电阻,改善放大器的线性和非线性失真,有效

地扩展放大器的通频带,自动调节作用。

电压负反馈的特点:电路的输出电压趋向于维持恒定。

电流负反馈的特点:电路的输出电流趋向于维持恒定。

3、有源滤波器和无源滤波器的区别

无源滤波器:这种电路主要有无源元件r、l和c组成

有源滤波器:集成运放和r、c组成,具有不用电感、体积小、重量

轻等优点。

集成运放的开环电压增益和输入阻抗均很高,输出电阻小,构成有

源滤波电路后还具有一定的电压放大和缓冲作用。但集成运放带宽

有限,所以目前的有源滤波电路的工作频率难以做得很高。

6、fpga和asic的概念,他们的区别。(未知)

答案:fpga是可编程asic。

asic:专用集成电路,它是面向专门用途的电路,专门为一个用户设

计和制造的。根据一个用户的特定要求,能以低研制成本,短、交

货周期供货的全定制,半定制集成电路。与门阵列等其它

asic(application specific ic)相比,它们又具有设计开发周期短、设计制造成本低、开发工具先进、标准产品无需测试、质量稳定以及

可实时在线检验等优点。

7、什么叫做otp片、掩膜片,两者的区别何在?

otp means one time program,一次性编程

mtp means multi time program,多次性编程

otp(one time program)是mcu的一种存储器类型

mcu按其存储器类型可分为mask(掩模)rom、otp(一次性可编

程)rom、flashrom等类型。

maskrom的mcu价格便宜,但程序在出厂时已经固化,适合程序

固定不变的应用场合; falshrom的mcu程序可以反复擦写,灵活

性很强,但价格较高,适合对价格不敏感的应用场合或做开发用途; otp rom的mcu价格介于前两者之间,同时又拥有一次性可编程能力,适合既要求一定灵活性,又要求低成本的应用场合,尤其是功

能不断翻新、需要迅速量产的电子产品。

8、单片机上电后没有运转,首先要检查什么?

首先应该确认电源电压是否正常。用电压表测量接地引脚跟电源引

脚之间的电压,看是否是电源电压,例如常用的5v。

接下来就是检查复位引脚电压是否正常。分别测量按下复位按钮和

放开复位按钮的电压值,看是否正确。

然后再检查晶振是否起振了,一般用示波器来看晶振引脚的波形,

注意应该使用示波器探头的“x10”档。另一个办法是测量复位状态下

的io口电平,按住复位键不放,然后测量io口

(没接外部上拉的p0口除外)的电压,看是否是高电平,如果不是

高电平,则多半是因为晶振没有起振。

另外还要注意的地方是,如果使用片内rom的话(大部分情况下如此,现在已经很少有用外部扩rom的了),一定要将ea引脚拉高,否则会出现程序乱跑的情况。有时用仿真器可以,而烧入片子不行,往往是因为ea引脚没拉高的缘故(当然,晶振没起振也是原因只一)。经过上面几点的检查,一般即可排除故障了。如果系统不稳

定的话,有时是因为电源滤波不好导致的。在单片机的电源引脚跟

地引脚之间接上一个0.1uf的电容会有所改善。如果电源没有滤波电

容的话,则需要再接一个更大滤波电容,例如220uf的。遇到系统

不稳定时,就可以并上电容试试(越靠近芯片越好)。

3、最基本的如三极管曲线特性。

4、描述反馈电路的概念,列举他们的应用。(仕兰微电子)

反馈概念:把输出信号的一部分或全部反引回来,和输入信号做比较,再用比较所得的偏差信号去控制输出。

5、负反馈种类:电压并联负反馈,电压串联负反馈,电流串联负反

馈和电流并联负反馈负反馈的优点:提高放大倍数的恒定性、扩展

放大器的通频带、减小放大器非线性和内部噪声的影响、对输入电

阻和输出电阻的影响

6、放大电路的频率补偿的目的是什么,有哪些方法?(仕兰微电子)目的:减小时钟和相位差,使输入输出频率同步

方法:负反馈,增加通频带

7、频率响应,如:怎么才算是稳定的,如何改变频响曲线的几个方法。(未知)

8、给出一个查分运放,如何相位补偿,并画补偿后的波特图。(凹凸)

9、基本放大电路种类:电压放大器,电流放大器,互导放大器和互

阻放大器

优缺点:特别是广泛采用差分结构的原因。(未知)

10、给出一差分电路,告诉其输出电压y+和y-,求共模分量和差模

分量。(未知)

11、画差放的两个输入管。(凹凸)

12、画出由运放构成加法、减法、微分、积分运算的电路原理图。

并画出一个晶体管级的运放电路。(仕兰微电子)

13、用运算放大器组成一个10倍的放大器。(未知)

14、给出一个简单电路,让你分析输出电压的特性(就是个积分电路),并求输出端某点的 rise/fall时间。(infineon笔试试题)

15、电阻r和电容c串联,输入电压为r和c之间的电压,输出电

压分别为c上电压和r上电压,要求制这两种电路输入电压的频谱,判断这两种电路何为高通滤波器,何为低通滤波器。当rct时,给

出输入电压波形图,绘制两种电路的输出波形图。(未知)

16、有源滤波器和无源滤波器的原理及区别?(新太硬件)

17、有一时域信号

s=v0sin(2pif0t)+v1cos(2pif1t)+v2sin(2pif3t+90),当其通过低通、

带通、高通滤波器后的信号表示方式。(未知)

18、选择电阻时要考虑什么?(东信笔试题)

19、在cmos电路中,要有一个单管作为开关管精确传递模拟低电平,这个单管你会用p管还是n管,为什么?(仕兰微电子)

20、给出多个mos管组成的电路求5个点的电压。(infineon笔试

试题)

21、电压源、电流源是集成电路中经常用到的模块,请画出你知道

的线路结构,简单描述其优缺点。(仕兰微电子)

22、画电流偏置的产生电路,并解释。(凹凸)

23、史密斯特电路,求回差电压。(华为面试题)

24、晶体振荡器,好像是给出振荡频率让你求周期(应该是单片机

的,12分之一周期....) (华为面试题)

25、lc正弦波振荡器有

26、vco是什么,什么参数(压控振荡器?) (华为面试题)

27、锁相环有哪几部分组成?(仕兰微电子)

28、锁相环电路组成,振荡器(比如用d触发器如何搭)。(未知)

29、求锁相环的输出频率,给了一个锁相环的结构图。(未知)

30、如果公司做高频电子的,可能还要rf知识,调频,鉴频鉴相之类,不一一列举

31、一电源和一段传输线相连(长度为l,传输时间为t),画出终端

处波形,考虑传输线无损耗。给出电源电压波形图,要求绘制终端

波形图。(未知)

32、微波电路的匹配电阻。(未知)

33、dac和adc的实现各有哪些方法?(仕兰微电子)

34、a/d电路组成、工作原理。(未知)

35、实际工作所需要的一些技术知识(面试容易问到)。如电路的低

功耗,稳定,高速如何做到,调运放,布版图注意的地方等等,一般

会针对简历上你所写做过的东西具体问,肯定会问得很细(所以别

把什么都写上,精通之类的词也别用太多了),这个东西各个人就

不一样了,不好说什么了。(未知)哪几种三点式振荡电路,分别

画出其原理图。(仕兰微电子)

ic设计基础(流程、工艺、版图、器件)

1、我们公司的产品是集成电路,请描述一下你对集成电路的认识,

列举一些与集成电路相关的内容(如讲清楚模拟、数字、双极型、cmos、mcu、risc、cisc、dsp、asic、fpga等的概念)。(仕兰

微面试题目)

4、你知道的集成电路设计的表达方式有哪几种?(仕兰微面试题目) 6、简述fpga等可编程逻辑器件设计流程。(仕兰微面试题目)

fpga设计流程:

1、设计输入

1)设计的行为或结构描述。

2)典型文本输入工具有ultraedit-32和editplus.exe.。

3)典型图形化输入工具-mentor的renoir。

4)我认为ultraedit-32最佳。

2、代码调试

1)对设计输入的文件做代码调试,语法检查。

2)典型工具为debussy。

3、前仿真

1)功能仿真

2)验证逻辑模型(没有使用时间延迟)。

3)典型工具有mentor公司的modelsim、synopsys公司的vcs和vss、aldec公司的active、cadense公司的 nc。

4)我认为做功能仿真synopsys公司的vcs和vss速度最快,并且调试器最好用,mentor公司的modelsim对于读写文件速度最快,波形窗口比较好用。

4、综合

1)把设计翻译成原始的目标工艺

2)最优化

3)合适的面积要求和性能要求

4)典型工具有mentor公司的leonardospectrum、synopsys公司的dc、synplicity公司的 synplify。

5)推荐初学者使用mentor公司的leonardospectrum,由于它在只作简单约束综合后的速度和面积最优,如果你对综合工具比较了解,可以使用synplicity公司的synplify。

5、布局和布线

1)映射设计到目标工艺里指定位置

2)指定的布线资源应被使用

3)由于pld市场目前只剩下altera,xilinx,lattice,actel,quicklogic,atmel六家公司,其中前5家为专业pld公司,并且前3家几乎占有了90%的市场份额,而我们一般使用a ltera,xilinx 公司的pld居多,所以典型布局和布线的工具为altera公司的quartus ii和 maxplus ii、xilinx公司的ise和foudation。

4)maxplus ii和foudation分别为altera公司和xilinx公司的第一代产品,所以布局布线一般使用quartus ii和ise。

6、后仿真

1)时序仿真

2)验证设计一旦编程或配置将能在目标工艺里工作(使用时间延迟)。

3)所用工具同前仿真所用软件。

7、时序分析

1)一般借助布局布线工具自带的时序分析工具,也可以使用synopsys公司的 primetime软件和mentor graphics公司的tau timing analysis软件。

8、验证合乎性能规范

1)验证合乎性能规范,如果不满足,回到第一步。

9、版图设计

1)验证版版图设计。

2)在板编程和测试器件

8、从rtl synthesis到tape out之间的设计flow,并列出其中各步使用的tool. 9、asic的design flow。

1. 包括系统结构分析设计、rtl编码以及功能验证;

2. 逻辑综合、prelayout sta以及形式验证(rtl代码与逻辑综合生成的netlist之间);

3. floorplan、placement、clocktree插入以及全局布线(global routing)

4. 形式验证(逻辑综合的netlist与带有ct信息的netlist之间)、sta;

5. detailed routing,drc;

6. postlayout sta,带有反标延迟信息的门级仿真;

7. tape-out

10、写出asic前期设计的流程和相应的工具。

前期设计流程:

1. 包括系统结构分析设计、rtl编码以及功能验证;

2. 逻辑综合、prelayout sta以及形式验证(rtl代码与逻辑综合生成的netlist之间);

3. 形式验证(逻辑综合的netlist与带有ct信息的netlist之间)、sta;

4. postlayout sta,带有反标延迟信息的门级仿真;

11、集成电路前段设计流程,写出相关的工具

先介绍下ic开发流程:

1.)代码输入(design input)

用vhdl或者是verilog语言来完成器件的功能描述,生成hdl代码语言输入工具:summit 、visualhdl、mentor、renior

图形输入:composer(cadence)、viewlogic (viewdraw)

2.)电路仿真(circuit simulation)

将vhd代码进行先前逻辑仿真,验证功能描述是否正确

数字电路仿真工具:verolog:cadence、verolig-xl、synopsys、vcs、mentor modle-sim

vhdl :cadence、nc-vhdl、ynopsys、vss、mentor、modle-sim 模拟电路仿真工具:anti hspice pspice、spectre micro microwave:eesoft : hp

3.)逻辑综合(synthesis tools)

逻辑综合工具可以将设计思想vhd代码转化成对应一定工艺手段的

门级电路;将初级仿真中所没有考虑的门沿(gates delay)反标到

生成的门级网表中,返回电路仿真阶段进行再仿真。最终仿真结果生

成的网表称为物理网表。

12、请简述一下设计后端的整个流程?(仕兰微面试题目)

13、是否接触过自动布局布线?请说出一两种工具软件。自动布局

布线需要哪些基本元素?(仕兰微面试题目)

14、描述你对集成电路工艺的认识。(仕兰微面试题目)

15、列举几种集成电路典型工艺。工艺上常提到0.25,0.18指的是

什么?(仕兰微面试题目)

16、请描述一下国内的工艺现状。(仕兰微面试题目)

17、半导体工艺中,掺杂有哪几种方式?(仕兰微面试题目)

18、描述cmos电路中闩锁效应产生的过程及最后的结果?(仕兰

微面试题目)19、解释latch-up现象和antenna effect和其预防措施.(未知)

20、什么叫latchup?(科广试题)

21、什么叫窄沟效应? (科广试题)

22、什么是nmos、pmos、cmos?什么是增强型、耗尽型?什么

是pnp、npn?他们有什么差别?(仕兰微面试题目)

23、硅栅coms工艺中n阱中做的是p管还是n管,n阱的阱电位

的连接有什么要求?(仕兰微面试题目)

24、画出cmos晶体管的cross-over图(应该是纵剖面图),给

出所有可能的传输特性和转移特性。(infineon笔试试题)

25、以interver为例,写出n阱cmos的process流程,并画出剖面图。(科广试题)

26、please explain how we describe the resistance in semiconductor. compare

the resistance of a metal,poly and diffusion in tranditional

cmos process.(威

盛笔试题circuit design-beijing-03.11.09)

27、说明mos一半工作在什么区。(凹凸的题目和面试)

28、画p-bulk 的nmos截面图。(凹凸的题目和面试)

29、写schematic note(?),越多越好。(凹凸的题目和面试)

30、寄生效应在ic设计中怎样加以克服和利用。(未知)

【篇三:模电数电笔试面试题目大全】

t>模拟电路

1、基尔霍夫定理的内容是什么?(仕兰微电子)

3、最基本的如三极管曲线特性。(未知)

4、描述反馈电路的概念,列举他们的应用。(仕兰微电子)

5、负反馈种类(电压并联反馈,电流串联反馈,电压串联反馈和电

流并联反馈);负反馈的优点(降低放大器的增益灵敏度,改变输

入电阻和输出电阻,改善放大器的线性和非线性失真,有效地扩展

放大器的通频带,自动调节作用)(未知)

6、放大电路的频率补偿的目的是什么,有哪些方法?(仕兰微电子)

7、频率响应,如:怎么才算是稳定的,如何改变频响曲线的几个方法。(未知)

8、给出一个查分运放,如何相位补偿,并画补偿后的波特图。(凹凸)

9、基本放大电路种类(电压放大器,电流放大器,互导放大器和互

阻放大器),优缺点,特别是广泛采用差分结构的原因。(未知)

10、给出一差分电路,告诉其输出电压y+和y-,求共模分量和差模

分量。(未知)

11、画差放的两个输入管。(凹凸)

12、画出由运放构成加法、减法、微分、积分运算的电路原理图。

并画出一个晶体管级的运放电路。(仕兰微电子)

13、用运算放大器组成一个10倍的放大器。(未知)

14、给出一个简单电路,让你分析输出电压的特性(就是个积分电路),并求输出端某点的 rise/fall时间。(infineon笔试试题)

15、电阻r和电容c串联,输入电压为r和c之间的电压,输出电

压分别为c上电压和r上电压,要求绘制这两种电路输入电压的频谱,判断这两种电路何为高通滤波器,何为低通滤波器。当rct时,给出输入电压波形图,绘制两种电路的输出波形图。(未知)

16、有源滤波器和无源滤波器的原理及区别?(新太硬件)

17、有一时域信号

s=v0sin(2pif0t)+v1cos(2pif1t)+v2sin(2pif3t+90),当其通过低通、

带通、高通滤波器后的信号表示方式。(未知)

18、选择电阻时要考虑什么?(东信笔试题)

19、在cmos电路中,要有一个单管作为开关管精确传递模拟低电平,这个单管你会用p管还是n管,为什么?(仕兰微电子)

20、给出多个mos管组成的电路求5个点的电压。(infineon笔试

试题)

21、电压源、电流源是集成电路中经常用到的模块,请画出你知道

的线路结构,简单描述其优缺点。(仕兰微电子)

22、画电流偏置的产生电路,并解释。(凹凸)

23、史密斯特电路,求回差电压。(华为面试题)

24、晶体振荡器,好像是给出振荡频率让你求周期(应该是单片机

的,12分之一周期....)(华为面试题)

25、lc正弦波振荡器有哪几种三点式振荡电路,分别画出其原理图。(仕兰微电子)

26、vco是什么,什么参数(压控振荡器?) (华为面试题)

27、锁相环有哪几部分组成?(仕兰微电子)

28、锁相环电路组成,振荡器(比如用d触发器如何搭)。(未知)

29、求锁相环的输出频率,给了一个锁相环的结构图。(未知)

30、如果公司做高频电子的,可能还要rf知识,调频,鉴频鉴相之类,不一一列举。(未

知)

31、一电源和一段传输线相连(长度为l,传输时间为t),画出终端

处波形,考虑传输线

无损耗。给出电源电压波形图,要求绘制终端波形图。(未知)

32、微波电路的匹配电阻。(未知)

33、dac和adc的实现各有哪些方法?(仕兰微电子)

34、a/d电路组成、工作原理。(未知)

35、实际工作所需要的一些技术知识(面试容易问到)。如电路的低

功耗,稳定,高速如何

做到,调运放,布版图注意的地方等等,一般会针对简历上你所写做

过的东西具体问,肯

定会问得很细(所以别把什么都写上,精通之类的词也别用太多了),这个东西各个人就

不一样了,不好说什么了。(未知)

______________________________________________________ _________________

数字电路

1、同步电路和异步电路的区别是什么?(仕兰微电子)

2、什么是同步逻辑和异步逻辑?(汉王笔试)

同步逻辑是时钟之间有固定的因果关系。异步逻辑是各时钟之间没

有固定的因果关系。

3、什么是线与逻辑,要实现它,在硬件特性上有什么具体要求?

(汉王笔试)

线与逻辑是两个输出信号相连可以实现与的功能。在硬件上,要用

oc门来实现,由于不用

oc门可能使灌电流过大,而烧坏逻辑门。同时在输出端口应加一个上拉电阻。

4、什么是setup 和holdup时间?(汉王笔试)

5、setup和holdup时间,区别.(南山之桥)

6、解释setup time和hold time的定义和在时钟信号延迟时的变化。(未知)

7、解释setup和hold time violation,画图说明,并说明解决办法。(威盛via

2003.11.06 上海笔试试题)

setup/hold time 是测试芯片对输入信号和时钟信号之间的时间要求。建立时间是指触发

器的时钟信号上升沿到来以前,数据稳定不变的时间。输入信号应

提前时钟上升沿(如上

升沿有效)t时间到达芯片,这个t就是建立时间-setup time.如不

满足setup time,这个

数据就不能被这一时钟打入触发器,只有在下一个时钟上升沿,数

据才能被打入触发器。

保持时间是指触发器的时钟信号上升沿到来以后,数据稳定不变的

时间。如果hold time

不够,数据同样不能被打入触发器。

建立时间(setup time)和保持时间(hold time)。建立时间是指在

时钟边沿前,数据信

号需要保持不变的时间。保持时间是指时钟跳变边沿后数据信号需

要保持不变的时间。如

果不满足建立和保持时间的话,那么dff将不能正确地采样到数据,将会出现

metastability的情况。如果数据信号在时钟沿触发前后持续的时间

均超过建立和保持时

间,那么超过量就分别被称为建立时间裕量和保持时间裕量。

8、说说对数字逻辑中的竞争和冒险的理解,并举例说明竞争和冒险

怎样消除。(仕兰微

电子)

9、什么是竞争与冒险现象?怎样判断?如何消除?(汉王笔试)

在组合逻辑中,由于门的输入信号通路中经过了不同的延时,导致

到达该门的时间不一致

叫竞争。产生毛刺叫冒险。如果布尔式中有相反的信号则可能产生

竞争和冒险现象。解决

方法:一是添加布尔式的消去项,二是在芯片外部加电容。

10、你知道那些常用逻辑电平?ttl与coms电平可以直接互连吗?(汉王笔试)

常用逻辑电平:12v,5v,3.3v;ttl和cmos不可以直接互连,由

于ttl是在0.3-3.6v之

间,而cmos则是有在12v的有在5v的。cmos输出接到ttl是可

以直接互连。ttl接到cmos需要在输出端口加一上拉电阻接到5v

或者12v。

11、如何解决亚稳态。(飞利浦-大唐笔试)

亚稳态是指触发器无法在某个规定时间段内达到一个可确认的状态。当一个触发器进入亚

稳态时,既无法预测该单元的输出电平,也无法预测何时输出才能

稳定在某个正确的电平

上。在这个稳定期间,触发器输出一些中间级电平,或者可能处于

振荡状态,并且这种无

用的输出电平可以沿信号通道上的各个触发器级联式传播下去。

12、ic设计中同步复位与异步复位的区别。(南山之桥)

13、moore 与 meeley状态机的特征。(南山之桥)

14、多时域设计中,如何处理信号跨时域。(南山之桥)

15、给了reg的setup,hold时间,求中间组合逻辑的delay范围。(飞利浦-大唐笔试)

delay period - setup – hold

16、时钟周期为t,触发器d1的建立时间最大为t1max,最小为

t1min。组合逻辑电路最大延迟为t2max,最小为t2min。问,触发

器d2的建立时间t3和保持时间应满足什么条件。(华

为)

17、给出某个一般时序电路的图,有tsetup,tdelay,tck-q,还有

clock的delay,写出决

定最大时钟的因素,同时给出表达式。(威盛via 2003.11.06 上海

笔试试题)

18、说说静态、动态时序模拟的优缺点。(威盛via 2003.11.06 上

海笔试试题)

19、一个四级的mux,其中第二级信号为关键信号如何改善timing。(威盛via

2003.11.06 上海笔试试题)

20、给出一个门级的图,又给了各个门的传输延时,问关键路径是

什么,还问给出输入,

使得输出依赖于关键路径。(未知)

21、逻辑方面数字电路的卡诺图化简,时序(同步异步差异),触

发器有几种(区别,优

点),全加器等等。(未知)

22、卡诺图写出逻辑表达使。(威盛via 2003.11.06 上海笔试试题)

23、化简f(a,b,c,d)= m(1,3,4,5,10,11,12,13,14,15)的和。(威盛)

24、please show the cmos inverter schmatic,layout and its cross sectionwith p-

well process.plot its transfer curve (vout-vin) and also explain the

operation region of pmos and nmos for each segment of the transfer curve? (威

盛笔试题circuit design-beijing-03.11.09)

25、to design a cmos invertor with balance rise and fall

time,please define

the ration of channel width of pmos and nmos and explain?

26、为什么一个标准的倒相器中p管的宽长比要比n管的宽长比大?(仕兰微电子)

27、用mos管搭出一个二输入与非门。(扬智电子笔试)

28、please draw the transistor level schematic of a cmos 2 input and gate and

explain which input has faster response for output rising edge.(less delay

time)。(威盛笔试题circuit design-beijing-03.11.09)

29、画出not,nand,nor的符号,真值表,还有transistor level的

电路。(infineon笔

试)

30、画出cmos的图,画出tow-to-one mux gate。(威盛via 2003.11.06 上海笔试试题)

31、用一个二选一mux和一个inv实现异或。(飞利浦-大唐笔试)

32、画出y=a*b+c的cmos电路图。(科广试题)

33、用逻辑们和cmos电路实现ab+cd。(飞利浦-大唐笔试)

34、画出cmos电路的晶体管级电路图,实现y=a*b+c(d+e)。(仕

兰微电子)

35、利用4选1实现f(x,y,z)=xz+yz。(未知)

36、给一个表达式f=xxxx+xxxx+xxxxx+xxxx用最少数量的与非门实现(实际上就是化简)。

37、给出一个简单的由多个not,nand,nor组成的原理图,根据输入波形画出各点波形。(infineon笔试)

38、为了实现逻辑(a xor b)or (c and d),请选用以下逻辑中

的一种,并说明为什么?1)inv2)and3)or4)nand5)nor6)

xor 答案:nand(未知)

39、用与非门等设计全加法器。(华为)

40、给出两个门电路让你分析异同。(华为)

41、用简单电路实现,当a为输入时,输出b波形为…(仕兰微电子)

42、a,b,c,d,e进行投票,多数服从少数,输出是f(也就是如果

a,b,c,d,e中1的个数比0 多,那么f输出为1,否则f为0),用与非门实现,输入数目没有限制。(未知)

43、用波形表示d触发器的功能。(扬智电子笔试)

44、用传输门和倒向器搭一个边沿触发器。(扬智电子笔试)

45、用逻辑们画出d触发器。(威盛via 2003.11.06 上海笔试试题)

46、画出dff的结构图,用verilog实现之。(威盛)

47、画出一种cmos的d锁存器的电路图和版图。(未知)

48、d触发器和d锁存器的区别。(新太硬件面试)

49、简述latch和filp-flop的异同。(未知)

50、latch和dff的概念和区别。(未知)

51、latch与register的区别,为什么现在多用register.行为级描述

中latch如何产生的。(南山之桥)

相关文档
最新文档