二选一数据选择器

合集下载

二选择一数据选择器操作步骤指令集

二选择一数据选择器操作步骤指令集

1、为本项目工程建立一个专用文件夹。(不能在系统盘,不能用中文和空格)

2、建立原理图文件,打开QII—File—New—Device DesignBlock Diagram/Schematic File。

3、编辑构建电路图。在原理图窗口双击鼠标左键或单击右键,调取元件,从Insert/Symbol。连线,绘电路图。

4、设计文件存盘与编辑:

(1)File—Save As—以*.bdf为文件名保存在步骤1新建的工程目录中;

(2)创建工程Project:File—New Project Wizard—添加刚建立的*.VHD文件和选择目标器件EP1K30TC144-3;

(3)选择仿真器和综合器类型(默认)--Finsi.

5、开始编译:Processing—Start Complation.

6、生成元件:执行“File”菜单中—Create/Update/Create Symbol Files for Current File命令产生元件符号。

7、仿真设计文件:

(1)新建波形仿真文件,打开波形编辑器。New—other files—vector wave form file;

(2)设置仿真时间:Edit—End Time—1ms;

(3)波形文件存盘:将以默认名为*.vwf的波形存入工程文件夹中;

(4)导入信号结点:左边双击—Node finder;

(5)编辑输入信号波形;

(6)保存后波形仿真:procesing—run simulation,或点击快捷键。

8、引脚锁定

(1)选择芯片:Assign/Device.如果找不到,请将show only fastest speed grades前的√号去掉。

【免费下载】二选一数据选择器

【免费下载】二选一数据选择器
图 1-1 数据选择器原理图
二 电路逻辑功能 2.1 电路逻辑图
Y S A SB ( S 是数据选择控制端, S 为 0 时选择 A,为 1 时选 S 择 B)
要实现 2 选 1 选择器,逻辑电路图如下所示
2
对全部高中资料试卷电气设备,在安装过程中以及安装结束后进行高中资料试卷调整试验;通电检查所有设备高中资料电试力卷保相护互装作置用调与试相技互术关,系电,力根通保据过护生管高产线中工敷资艺设料高技试中术卷资,配料不置试仅技卷可术要以是求解指,决机对吊组电顶在气层进设配行备置继进不电行规保空范护载高与中带资负料荷试下卷高问总中题体资,配料而置试且时卷可,调保需控障要试各在验类最;管大对路限设习度备题内进到来行位确调。保整在机使管组其路高在敷中正设资常过料工程试况中卷下,安与要全过加,度强并工看且作护尽下关可都于能可管地以路缩正高小常中故工资障作料高;试中对卷资于连料继接试电管卷保口破护处坏进理范行高围整中,核资或对料者定试对值卷某,弯些审扁异核度常与固高校定中对盒资图位料纸置试,.卷保编工护写况层复进防杂行腐设自跨备动接与处地装理线置,弯高尤曲中其半资要径料避标试免高卷错等调误,试高要方中求案资技,料术编试交写5、卷底重电保。要气护管设设装线备备置敷4高、调动设中电试作技资气高,术料课中并3中试、件资且包卷管中料拒含试路调试绝线验敷试卷动槽方设技作、案技术,管以术来架及避等系免多统不项启必方动要式方高,案中为;资解对料决整试高套卷中启突语动然文过停电程机气中。课高因件中此中资,管料电壁试力薄卷高、电中接气资口设料不备试严进卷等行保问调护题试装,工置合作调理并试利且技用进术管行,线过要敷关求设运电技行力术高保。中护线资装缆料置敷试做设卷到原技准则术确:指灵在导活分。。线对对盒于于处调差,试动当过保不程护同中装电高置压中高回资中路料资交试料叉卷试时技卷,术调应问试采题技用,术金作是属为指隔调发板试电进人机行员一隔,变开需压处要器理在组;事在同前发一掌生线握内槽图部内纸故,资障强料时电、,回设需路备要须制进同造行时厂外切家部断出电习具源题高高电中中源资资,料料线试试缆卷卷敷试切设验除完报从毕告而,与采要相用进关高行技中检术资查资料和料试检,卷测并主处且要理了保。解护现装场置设。备高中资料试卷布置情况与有关高中资料试卷电气系统接线等情况,然后根据规范与规程规定,制定设备调试高中资料试卷方案。

Verilog入门训练3—二选一数据选择器

Verilog入门训练3—二选一数据选择器

重新编译代码: “Processing”——“Start Compilation” 。 下载代码到 FPGA,看电路是否按照要求工作。 思考以下几点:reg 是什么关键词?always 的用法、格式? 2. 使用结构描述方式完成数据二选一电路。 由于 F 的表达式为:F=AS + BS 实现上述电路一共需要 1 个非门、2 个与门和 1 个或门,电路图如下:
在出现的编辑界面左侧右键,选择如下:
在“Insert Node or Bus”里选择“Node Finder…”
Байду номын сангаас
在弹出来的 “Node Finder” 中 ,首先 在“Filter” 中 选择 “Pins: Unassigned” , 然 后点 击“list” ,
在“Nodes Found”中会列出所有的引脚,第三步选择全部引脚(鼠标拉) ,点击“>>” , 最 后点击“OK”即可。在回到的“Insert Node or Bus”界面点击“OK” 。
仿真成功后,回到波形文件,会弹出提示如下:
选择“是”来更新仿真结果,如下图所示:
根据仿真结果分析,例如在红线所在位置,S=1,因此 F 应该等于 B。这时候 B 的值为 0,因 此 F=0,结果正确。也可以从其他任意位置分析,看电路是否符合要求。 7. 指定引脚 点击“File”——“Save Project” ,然后关闭 quartus 8.0。打开 Quartus 11.0,选择“Open Project” ,找到上面保存的项目。项目打开后,首先更换器件,方法如下:点击项目中 器件(刚才随便指定的) ,右键,选择“Device”

2选1多路选择器数选器muxverilog

2选1多路选择器数选器muxverilog

2 实验2

2.1 实验内容

题目:设计一个1位的二选一多路选择器

要求:1.使用门级描述实现 2.使用逻辑表达式实现 3.使用if条件语句实现

4.出现正确的仿真波形,无需板子上验证。

2.2 实验步骤

1.系统设计

设计1位2选1选通器需要三个输入一个输出,其中中输入需要1根地址线两

根数据线。设输入一位数据a,b,地址为addr,输出为Out,根据2to1选通器的特

_______

点,可得其逻辑表达式为:out=a·addr+b·addr

(1)门级描述

需要两个与门一个非门一个或门,其中所有变量均为wire类型,且需

要三个wire类型中间变量储存信号。

(2)逻辑表达式

源代码中用assign out=sel?a:b;

或assign out=(a&sel)|(b&~sel);

(3)If条件语句

If语句在always语句中进行判断。

2.RTL原理图

(1)门级结构

(2)逻辑语句

使用两种逻辑语句进行RTL分析结果略有不同,out=sel?a:b;会分析出来一个2选1选通器而out=(a&sel)|(b&~sel);分析结果与门级结构类似

1)out=sel?a:b;

2)out=(a&sel)|(b&~sel);

(3)if语句

3.重要源代码及注释

(1)门级结构

module mux2_1(a,b,out,addr);

input a,b,addr;

output out;

wire naddr,a1,b1;//定义中间变量

not (naddr,addr);

and (b1,b,naddr);

and (a1,a,addr);

(完整word版)2选1多路选择器数选器muxverilog

(完整word版)2选1多路选择器数选器muxverilog

2 实验2

2。1 实验内容

题目:设计一个1位的二选一多路选择器

要求:1.使用门级描述实现 2。使用逻辑表达式实现 3。使用if条件语句实现

4。出现正确的仿真波形,无需板子上验证。

2.2 实验步骤

1.系统设计

设计1位2选1选通器需要三个输入一个输出,其中中输入需要1根地址线两根数据线.设输入

一位数据a,b,地址为addr,输出为Out,根据2to1选通器的特点,可得其逻辑表达式为:

_______

out=a·addr+b·addr

(1)门级描述

需要两个与门一个非门一个或门,其中所有变量均为wire类型,且需要三个wire类型中

间变量储存信号.

(2)逻辑表达式

源代码中用assign out=sel?a:b;

或 assign out=(a&sel)|(b&~sel);

(3)If条件语句

If语句在always语句中进行判断。

2.RTL原理图

(1)门级结构

(2)逻辑语句

使用两种逻辑语句进行RTL分析结果略有不同,out=sel?a:b;会分析出来一个2选1选通器

而out=(a&sel)|(b&~sel);分析结果与门级结构类似

1)out=sel?a:b;

2)out=(a&sel)|(b&~sel);

(3)if语句

3.重要源代码及注释

(1)门级结构

module mux2_1(a,b,out,addr);

input a,b,addr;

output out;

wire naddr,a1,b1;//定义中间变量

not (naddr,addr);

and (b1,b,naddr);

and (a1,a,addr);

二选一多路选择器原理

二选一多路选择器原理

二选一多路选择器原理

二选一多路选择器(Multiplexer,简称MUX)是一种能够将多个输入信号选中其中一个并输出的数字逻辑电路。在数字电路中,MUX是一种广泛应用的电路之一,在数字信号处理、通信、计算机等领域都有着非常重要的作用。

二选一多路选择器通过一组控制信号,将多个输入信号中的一个输出到信号线上。其结构通常由选择输入端、数据输入端和输出端组成。多路选择器的输入端可选输入多个数据信号,由控制信号确定输出哪一个数据信号到输出端,因此多路选择器也称为“数据选择器”。

为了更好地理解多路选择器的原理,以下将多路选择器原理分为三个部分:

1. 控制器

多路选择器的控制器表示多路选择器的选择输入端,用于选择哪个输入端输出到输出端。多路选择器的控制信号可以是一个或多个不同的信号,但这些信号所代表的值必须是二进制值。多路选择器的控制器可以使用 AND 或 OR 门实现,当控制信号和输入信号同时为 1 时,对应输入信号会输出到输出线上。

2. 数据输入

多路选择器的数据输入就是该选择器的多个输入端。可以选择其中的

一个输入端作为输出,这个输出端的值等于该输入端的值。这些输入

信号可以是数字信号、模拟信号或混合信号。

3. 输出

多路选择器的输出端是计算机或其他设备使用的信号线。多路选择器

的输出值取决于选择输入端和输入端的状态。当控制器的状态为0 时,多路选择器输出与第一个输入信号连接的值。当控制器为 1 时,多路

选择器输出与第二个输入信号相连的值。这个选择过程由控制器完成。

综上所述,二选一多路选择器是一种能够将多个输入信号中的一个输

数据选择器1

数据选择器1

L=0,故D0=0 , L=1,故D1=1 ,
用数据选择器实现函数: 例2: 用数据选择器实现函数: L ( X , Y , Z ) = X ⊕ Y ⊕ Z = ∑ m (1, 2, 4,7 )
数据选择器74LS151 ①选用8选1数据选择器 选用 选 数据选择器 ②设A2=X、A1=Y、A0=Z 、 、 ③求Di D0=0, D1=1, D2=1, D3=0 , , , D4=1, D5=0 , D6=0 , D7=1 ,
其功能类似一 个多投开关, 个多投开关, 是一个多输入、 是一个多输入、 单输出的组合 逻辑电路。 逻辑电路。
1、2选1数据选择器
输 入 数 据 D1 A D0
1 & ≥1 &
输 出 F 数 据
控制信号 A F D0 D1 A 型号:74LS157 集成化 型号:74LS157 D0 Y D1
F= AD0 + AD1
74LS151(2) S2 1
74LS151(1)
D15 … D8
A 3 = 0 时, S 1 = 0、 S 2 = 1,片 (2) 禁止、片 (1) 工作
A3=1 时, S1 =1、 S 2 =0,片(1)禁止、片(2)工作
4.3.3 数据选择器的应用
数据选择器的主要特点: 数据选择器的主要特点:
Y = m0 D0 + m1D1 + m2 D2 + m3 D3 + m4 D4 + m5 D5 + m6 D6 + m7 D7

二选一数据选择器

二选一数据选择器

二选一数据选择器

目录

一:数据选择器的基本原理 (3)

二电路逻辑功能 (2)

2.1 电路逻辑图 (2)

2.2真值表与表达式 (3)

2.3电路设计及仿真 (3)

三版图设计 (5)

3.1总体版图设计及DRC验证 (5)

3.1.1数据选择器版图设计步骤 (5)

3.1.2版图验证 (8)

3.2版图仿真 (9)

四数据选择器版图LVS对比 (10)

五结论及体会 (12)

一:数据选择器的基本原理

数据选择器是指经过选择,把多个通道的数据传送到唯一的公共数据通道上去,实现数据选择功能的逻辑电路称为数据选择器。它的作用相当于多个输入的单刀多掷开关,其示意图如下:

图1 n位通道选择信号

数据选择器除了可以实现一些组合逻辑功能以外,还可以做分时多路传输电路、函数发生器及数码比较器等。常见的数据选择器有4选1、8选1、16选1电路。

在多路数据传送过程中,能够根据需要将其中任意一路选出来的电路,叫做数据选择器

数据选择器(MUX)的逻辑功能是在地址选择信号的控制下,从多路数据中选择一路数据作为输出信号

下图所示为二选一数据选择器原理图,a,b为输入端,sel为控制端,out为输出端

图1-1数据选择器原理图

二电路逻辑功能

2.1 电路逻辑图

=+(S是数据选择控制端,S为0时选择A,为1时选S择B)

Y SA SB

要实现2选1选择器,逻辑电路图如下所示

图2-1数据选择器逻辑电路图

2.2真值表与表达式

二选一数据选择器逻辑表达式为:Y SA

SB =+

根据逻辑表达式所列真值表如下图所示

图2-2数据选择器真值表图

2.3电路设计及仿真

利用L-edit和S-edit的二选一数据选择器(基于传输门和与非门)

利用L-edit和S-edit的二选一数据选择器(基于传输门和与非门)

二选一数据选择器(基于与非门)1电路图(W/L=0.55μm /0.25μm)

版图:

第一步:引入单元图例(NMOS和PMOS)

第二步:各元件之间的连线

第三步:设置电源端,接地端以及输入断和输出端:

第四步:LVS一致性检查:

实验二二选一数据选择器(基于传输门)2电路图

实验二二选一数据选择器(基于传输门)2电路图

第一步:引入单元图例:

第二步,各元件的连接:

第三步,设置电源端,接地端以及输入断和输出端:

第四步:LVS一致性检查:

2选1数据选择器的VHDL描述

2选1数据选择器的VHDL描述

2选1数据选择器的VHDL描述

ENTITY mux21a IS

PORT( a, b : IN BIT ;

s : IN BIT;

y : OUT BIT ) ;

END ENTITY mux21a ;

ARCHITECTURE one OF mux21a IS

BEGIN

y <= a WHEN s = '0'

ELSE b ;

END ARCHITECTURE one ;

译码器的设计

architecture dec_behave of e1 is

signal sel : std_logic_vector( 0 to 3) ;

begin

sel(0) <= en ; sel(1) <= a(0) ; sel(2) <= a(1) ; sel(3) <= a(2) ;

with sel select

y <= "00000001" when "1000",

"00000010" when "1001",

"00000100" when "1010",

"00001000" when "1011",

"00010000" when "1100",

"00100000" when "1101",

"01000000" when "1110",

"10000000" when "1111",

"00000000" when others ;

end dec_behave ;

8-3优先编码器

library IEEE; ……;

entity encoder83 is

port (ind: in std_logic_vector(7 downto 0);

第5讲基于HDL实现的2选1数据选择器设计

第5讲基于HDL实现的2选1数据选择器设计

3、工程编译
Processing →Start Compilation 4、功能测试(波形仿真) 新建波形文件→添加输入输出端口→设 置输入信号波形→功能仿真→检验功能

课堂任务: 利用三种方法设计一个4选1的选择器
端口声明语句块端口声明语句块逻辑功能描述语句块逻辑功能描述语句块endmoduleendmodule一数据类型及常量变量一数据类型及常量变量11标识符标识符标识符用于表示电路系统中模块寄存器输标识符用于表示电路系统中模块寄存器输入入输出端口连线等物理对象名字
第五讲:基于HDL实现的2选1数据选择器设计
程序代码---数据流描述方式

/*2选1数据选择器,方法二:数据流描述方式*/
module mux2_1_ex2(P0,P1,S,F); input P0,P1,S; output F; assign F=~S&P0|S&P1; endmodule

程序代码---行为描述方式

6、缩位运算符
缩位运算符号 & ~& | 功能 缩位与 缩位与非 缩位或
~|
^ ^~、~^
缩位或非
缩位异或 缩位同或
7、移位运算符
移位运算符 左移<< A<<2 右移>> A>>2 如:A=4’b1101, A<<2 A=4’b1101, A>>2

多路二选一的开关芯片

多路二选一的开关芯片

多路二选一的开关芯片

多路二选一的开关芯片是一种常见的集成电路器件,它可以在多个输入信号中选择一个进行输出。在现代电子设备中,多路二选一开关芯片被广泛应用于数据选择、信号切换和电路控制等方面。本文将从工作原理、应用领域和未来发展等方面进行介绍。

一、工作原理

多路二选一的开关芯片内部通常由多个开关单元组成,每个开关单元有两个输入端和一个输出端。其中一个输入端连接到外部信号源,另一个输入端连接到内部信号源,通过控制信号来选择输出端连接哪个输入端。开关单元的数量决定了多路二选一开关芯片可以处理的输入信号数量。当选择信号为逻辑1时,开关单元将对应的输入信号连接到输出端;当选择信号为逻辑0时,开关单元将内部信号连接到输出端。

二、应用领域

多路二选一的开关芯片在各种电子设备中都有广泛的应用,以下是几个常见的应用领域:

1. 数据选择器:多路二选一开关芯片可以在多个输入数据中选择一个数据进行输出,常用于存储器读取、数据交换和信号切换等场景。

2. 信号切换器:多路二选一开关芯片可以在多个输入信号中选择一个信号进行输出,常用于音频、视频和通信等领域的信号切换。

3. 电路控制器:多路二选一开关芯片可以根据控制信号来选择不同的电路路径,实现电路的控制和调节。

4. 电源管理:多路二选一开关芯片可以在多个电源中选择一个电源进行输出,常用于电池管理、电源切换和供电控制等应用。

三、未来发展

随着电子设备的不断发展和应用需求的不断增长,多路二选一的开关芯片在功能和性能上也在不断提升和创新。未来发展的趋势主要体现在以下几个方面:

二选一数据选择器报告

二选一数据选择器报告

EDA实验报告

组合电路设计

一、实验目的

1、熟悉quartusⅡ的VHDL文本设计全过程,

2、学习简单组合电路的设计、多层次电路设计、仿真和硬件测试。

二、实验内容

1、实验内容2:将此多路选择器看成一个元件mux21a,利用元件例化语句描述成三选一,然后进行编译、综合、仿真。

2、实验内容3:引脚锁定以及硬件下载测试。选实验电路模式5,用键1(PIO0)控制s0;用键2(PIO1)控制s1;a

3、a2和a1分别接clock5、clock0和clock2;输出信号outy仍接spker,通过短路帽选择clock0接256Hz信号,clock5接1024Hz信号,aclock2接8 Hz信号。最后进行编译、下载和硬件测试实验。

三、实验器材

PC机一台、Quartus II软件、EDA实验箱一台、下载电缆一根(已接好)。四、实验程序

实验内容2:三选一

library ieee;

use ieee.std_logic_1164.all;

entity muxk is

port(a1,a2,a3: in std_logic;

s0,s1: in std_logic;

outy: out std_logic);

end entity muxk;

architecture bhv of muxk is

component mux21a

port( a,b: in std_logic;

s: in std_logic;

y: out std_logic);

end component;

signal tmp: std_logic;

begin

二选一数据选择器原理

二选一数据选择器原理

二选一数据选择器原理

在数据处理和分析的过程中,我们经常会遇到需要从两个或多个选项中选择一个的情况。这就需要用到二选一数据选择器,它能够根据特定的条件从多个选项中选择一个作为输出。本文将介绍二选一数据选择器的原理及其应用。

二选一数据选择器是一种逻辑电路,它有多个输入端和一个输出端。根据输入端的条件,选择器会从多个输入信号中选择一个作为输出。常见的二选一数据选择器包括多路选择器(Multiplexer)和开关(Switch)。

多路选择器是一种常用的二选一数据选择器,它有两个输入端和一个控制端。当控制端为0时,多路选择器输出第一个输入端的信号;当控制端为1时,多路选择器输出第二个输入端的信号。多路选择器的原理是利用控制端的信号来选择输出端的信号,从而实现二选一的功能。

开关也是一种常见的二选一数据选择器,它有两个输入端和一个控制端。当控制端为0时,开关输出第一个输入端的信号;当控制端为1时,开关输出第二个输入端的信号。开关的原理和多路选

择器类似,都是通过控制端的信号来选择输出端的信号。

二选一数据选择器在数字电路和逻辑电路中有着广泛的应用。

例如,它可以用来实现数据的选择和切换,用来选择不同的输入信

号进行处理和分析。在计算机系统中,二选一数据选择器也被广泛

用于控制信号的选择和传输,实现数据的多路复用和解复用。

除了在电路中的应用,二选一数据选择器在现实生活中也有着

广泛的应用。例如,智能家居系统中的智能开关就是一种二选一数

据选择器,它可以根据用户的控制信号选择不同的电器进行控制。

另外,自动化生产线中的传感器选择器也是一种二选一数据选择器,它可以根据传感器的信号选择不同的操作信号进行控制。

2选1数据选择器的VHDL描述

2选1数据选择器的VHDL描述

2选1数据选择器的VHDL描述

ENTITY mux21a IS

PORT( a, b : IN BIT ;

s : IN BIT;

y : OUT BIT ) ;

END ENTITY mux21a ;

ARCHITECTURE one OF mux21a IS

BEGIN

y <= a WHEN s = '0'

ELSE b ;

END ARCHITECTURE one ;

译码器的设计

architecture dec_behave of e1 is

signal sel : std_logic_vector( 0 to 3) ;

begin

sel(0) <= en ; sel(1) <= a(0) ; sel(2) <= a(1) ; sel(3) <= a(2) ; with sel select

y <= "00000001" when "1000",

"00000010" when "1001",

"00000100" when "1010",

"00001000" when "1011",

"00010000" when "1100",

"00100000" when "1101",

"01000000" when "1110",

"10000000" when "1111",

"00000000" when others ;

end dec_behave ;

8-3优先编码器

library IEEE; ……;

entity encoder83 is

port (ind: in std_logic_vector(7 downto 0);

实验六 二选一数据选择器的VHDL设计

实验六 二选一数据选择器的VHDL设计
+ :加,-:减; + :正,-:负 * :乘, /:除 ,REM 求余 , MOD求模:整数和实数,ABS:求绝对值 ** 乘方:左边可以是整数或浮点数,右边必须 是整数,且只有在左边为浮点数时,右边才 可以为负数。
其中取模,取余,取绝对值和乘方运算的操作数只能是 整型,其他的可以是整数和实数型。
5、移位运算符
SLL是将向量左移,右边移空位补0; SRL是将向量右移,左边移空位补0; SLA是将向量左移,右边第一位数值保持不变; SRA是将向量右移,左边第一位数值保持不变; ROL和ROR是自循环移位方式。
移位运算符操作示意图
移位运算符应用举例: A〈="0101"; B〈=A SLL 1; C〈=A SRL 1; D〈=A SLA 1; E〈=A SRA 1; F〈=A ROL 1; G〈=A ROR 1;
五种语句结构分别为块语句、进程语句、信号赋值语句、 子程序调用语句和元件例化语句。
结构体 说明语句
结 构 体 结 构 图
由若干并行执行语句包装在一 起形成一个子模块。 定义顺序语句模块,用从外部 获得的信号值,或内部的运算 数据向其它的信号进行赋值。
功能描述语句 块语句 进程语句
信号赋值语句 子程序调用语句 元件例化语句
信号赋值
信号、变量、常量对比
(一)、定义
Signal Variable Constant clk: std_logic; data: std_logic_vector(7 downto 0); width: integer :=7 ;
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

郑州工商学院

实验报告册所属课程名称:EDA技术及应用

院部:工学院

专业:电子科学与技术

班级:1702班

学号:170508070246

姓名:刘应许

指导教师:靳世红

1.新建一个工程,为工程指定工作目录,分配工程名称以及指定是最高层设计实体名称.将设计文件加入工程中,选择目标器件,选择综合器和仿真器,结束设计.

2.输入源文件,选择源文件类型,之后出现原理图文件编辑界面,工程中出现Block1.vhd文件.

3.输入VHDL代码.

4.打开波形编辑器,输入信号节点,编辑输入信号波形,观察仿真结果.仿真完成后可查看输出波形.

5.查看电路图.

二选一数据选择器

三人表决器

相关文档
最新文档