ISE使用指南基础篇
ISE开发环境使用指南[FPGA开发教程
ISE开发环境使用指南简介ISE(Integrated Software Environment)是Xilinx公司开发的一套FPGA设计软件工具。
本文档将为您介绍如何使用ISE开发环境进行FPGA开发,包括环境的安装、基本操作和常见问题解决方法。
环境安装1.在Xilinx官网上下载最新版本的ISE软件。
2.双击安装文件,按照向导指示完成安装过程。
3.完成安装后,打开ISE软件,进行必要的设置和配置。
基本操作创建工程1.打开ISE软件,选择“File” -> “New Project”。
2.在弹出的对话框中输入工程名称和路径,选择FPGA型号等相关参数,点击“Next”。
3.添加源文件和约束文件,点击“Next”。
4.点击“Finish”完成工程创建。
编译工程1.在ISE软件中选择“Project” -> “Run Implementation”进行工程编译。
2.检查编译过程中是否有错误,根据提示进行修正。
下载到FPGA1.将FPGA与电脑连接,选择“Tools” -> “iMPACT”打开下载工具。
2.配置下载参数,选择对应的FPGA型号和文件路径。
3.点击“Program”开始下载程序到FPGA。
常见问题解决方法编译错误•检查代码中是否有语法错误或逻辑问题。
•检查约束文件是否设置正确。
下载失败•检查FPGA与电脑的连接是否正常。
•检查下载工具配置是否正确。
总结通过本文档的介绍,您应该对如何使用ISE开发环境进行FPGA开发有了一定了解。
希望您在实际操作中能够顺利完成项目的开发和调试。
如果遇到任何问题,可以参考本文档中提供的常见问题解决方法或参考Xilinx官方文档进行进一步学习和搜索。
ISE使用指南上
ISE使用指南上1000字ISE(Internet Security Essentials)是一款网络安全软件,可帮助用户保护他们的计算机免受各种威胁。
在本指南中,我们将探讨ISE的一些主要功能及其如何使用。
一、安装和配置ISE1. ISE的安装首先,您需要下载ISE软件并将其安装在您的计算机上。
在安装过程中,您需要按照屏幕上的提示进行操作,直到安装完成。
2. ISE的配置一旦安装完成,您需要配置ISE以确保它能够正确地保护您的计算机。
在其中的某些情况下,ISE将自动配置。
但是,在其他情况下,您可能需要手动配置一些设置。
二、ISE的主要功能1. 防病毒ISE使用强大的防病毒引擎来检测并清除您计算机上的病毒。
它会定期检查您的系统,并在发现病毒时自动通知您。
2. 防间谍软件ISE还可以检测并删除您计算机上的间谍软件。
它会定期检查您的计算机,并在发现间谍软件时向您发出警告。
3. 防垃圾邮件ISE还提供了防垃圾邮件功能,可以帮助您防止不需要的邮件信息。
它会过滤所有传入的电子邮件,并将不需要的邮件放到垃圾邮件文件夹中。
4. 防网络攻击ISE还可以防御网络攻击,如拒绝服务攻击(DDoS)。
它使用内置防火墙来保护您的计算机免受未经授权的访问,而且可以阻止您计算机上的恶意软件连接Internet。
5. 防网络钓鱼ISE还能够检测并防止网络钓鱼攻击。
它会定期检查网络上最新的钓鱼技术,并对怀疑链接或网站发出警报。
三、ISE的使用1. 防病毒要使用ISE的防病毒功能,您只需打开ISE界面,并选择“扫描”功能。
ISE会自动扫描您的计算机,并在发现问题时向您发出警告。
2. 防间谍软件要使用ISE的防间谍软件功能,只需打开ISE界面,并选择“检测间谍软件”功能。
ISE会自动检测您的计算机,并在发现问题时向您发出警告。
3. 防垃圾邮件要使用ISE的防垃圾邮件功能,只需打开ISE界面,并选择“检测垃圾邮件”功能。
ISE会自动过滤您的电子邮件,并将不需要的邮件放到垃圾邮件文件夹中。
ISE软件的基本操作
4.1.4 ISE软件的基本操作1.ISE用户界面ISE9.1i的界面如图4-6所示,由上到下主要分为标题栏、菜单栏、工具栏、工程管理区、源文件编辑区、过程管理区、信息显示区、状态栏等8部分。
图4-6 ISE的主界面∙标题栏:主要显示当前工程的名称和当前打开的文件名称。
∙菜单栏:主要包括文件(File)、编辑(Edit)、视图(View)、工程(Project)、源文件(Source)、操作(Process)、窗口(Window)和帮助(Help)等8个下拉菜单。
其使用方法和常用的Windows软件类似。
∙工具栏:主要包含了常用命令的快捷按钮。
灵活运用工具栏可以极大地方便用户在ISE中的操作。
在工程管理中,此工具栏的运用极为频繁。
∙工程管理区:提供了工程以及其相关文件的显示和管理功能,主要包括源文件视图(Source View),快照视图(Snapshot View)和库视图(Library View)。
其中源文件视图比较常用,显示了源文件的层次关系。
快照是当前工程的备份,设计人员可以随时备份,也可以将当前工程随时恢复到某个备份状态。
快照视图用于查看当前工程的快照。
执行快照功能的方法是选择菜单项Project |Take Snapshot。
库视图则显示了工程中用户产生的库的内容。
∙源文件编辑区:源文件编辑区提供了源代码的编辑功能。
∙过程管理区:本窗口显示的内容取决于工程管理区中所选定的文件。
相关操作和FPGA设计流程紧密相关,包括设计输入、综合、仿真、实现和生成配置文件等。
对某个文件进行了相应的处理后,在处理步骤的前面会出现一个图标来表示该步骤的状态。
∙信息显示区:显示ISE中的处理信息,如操作步骤信息、警告信息和错误信息等。
信息显示区的下脚有两个标签,分别对应控制台信息区(Console)和文件查找区(Find in Files)。
如果设计出现了警告和错误,双击信息显示区的警告和错误标志,就能自动切换到源代码出错的地方。
ISE10.1使用教程简介
ISE 10.1Steps1 new project (1)2 new source (3)3 run behavior simulation (5)4 ChipScope (7)5 Constraints (12)6 synthesize your design (13)7 implement your design (13)8 Generate Programming File (13)9Analyze Design Using Chipscope (13)1 new project1. 双击桌面Xilinx ISE10.1 快捷方式打开ISE 工程管理器(Project Navigator)。
2. 打开 Project Navigator 后,选择File → New Project ,弹出新建工程对话框,填写工程名字,工程存放发热位置。
注意,不要填写含有中文的名字,以免发生错误。
3.点击 Next 按钮,弹出器件特性对话框。
Device Family选择“Virtex2P”,Device选“XC2VP30 ,Package选择ff896,Speed选 -7”,其他选择如下图所示。
其中这些选择由按FPGA开发板的型号来决定的。
然后点击NEXT,继续单击Next 按钮,然后单击Finish按钮完成对工程的建立和基本设置。
2 new source1在工程的Source for下在的空白处单击右键选择New Source菜单2然后在弹出的对话框中选择Verilog Module,并且在右边的File name中输入你的模块名称,然后单击Next按钮。
2.这是一个设置输入输出端口的对话框,可以设置,也可以不设置。
一般选择不设置,直接点击NEXT,最后单击Finish按钮完成成对Verilog Module模块资源的添加。
3.双击Source for下面的.v文件(本实验双击counter.v)进入源代码编辑框中,并在里面编写Verilog源程序,然后点击保存按钮。
ISE软件使用说明(两篇)
引言:概述:ISE软件是由Xilinx公司开发的一款集成电路设计工具,使用该软件可以进行数字电路设计、仿真、验证以及实现等多个阶段的工作。
在设计阶段,ISE软件提供了丰富的组件库和设计工具,方便用户进行电路原理图的绘制和逻辑设计。
在验证阶段,ISE软件可以进行功能仿真和时序仿真,以确保设计的正确性和稳定性。
在实现阶段,ISE软件提供了先进的布局与布线工具,能够将设计转化为实际的电路板。
正文内容:1.安装与启动1.1ISE软件安装包1.2安装ISE软件1.3启动ISE软件2.项目管理2.1创建新项目2.2导入已有项目2.3添加设计文件2.4设定项目属性2.5保存和备份项目3.设计流程3.1电路原理图设计3.1.1组件选择3.1.2连接元件3.1.3设置元件属性3.2逻辑设计3.2.1设计约束3.2.2逻辑优化3.2.3时序约束3.3约束文件编辑3.3.1约束规则3.3.2约束语法3.3.3约束检查3.4时序仿真3.4.1创建仿真波形3.4.2设定初始状态3.4.3运行仿真3.5功能仿真3.5.1设置输入信号3.5.2运行仿真3.5.3分析仿真结果4.仿真与验证4.1时序分析4.1.1设定时钟4.1.2时序路径分析4.1.3时序优化4.2时序约束验证4.2.1满足约束4.2.2修复时序错误4.3灵敏度分析4.3.1设定输入敏感性4.3.2分析敏感性4.4逻辑分析4.4.1切换敏感性4.4.2分析逻辑状态5.布局与布线5.1物理约束5.1.1面积约束5.1.2信号完整性约束5.1.3电源与接地约束5.2布局5.2.1网表导入5.2.2管理物理资源5.2.3进行布局布线5.3时序优化5.3.1满足时序约束5.3.2缩短信号传输路径5.3.3优化时钟分配5.4布线5.4.1管理布线资源5.4.2进行布线5.4.3路由与优化5.5设计规约检查5.5.1检查布局布线规约5.5.2修复设计规约错误总结:引言概述:ISE软件是一款功能强大的集成开发环境工具,广泛应用于数字电路设计和实现。
ISE使用指南中
ISE使用指南中ISE(Integrated Software Environment)是一种软件开发工具,帮助开发人员编写和调试程序。
它提供了许多功能,使开发过程更加高效和容易。
本文将介绍ISE的基本使用指南,帮助初学者了解如何使用这个强大的工具。
安装ISE开始一个新项目在安装完成后,您可以打开ISE并开始一个新项目。
在“文件”菜单中选择“新建项目”,然后按照向导的指示操作。
在新项目设置过程中,您需要输入项目名称、目标设备、工作目录等信息。
确保所有信息都输入正确,然后点击“完成”按钮。
编写代码进行综合完成代码编写后,您需要进行综合。
综合是将高级代码转换为门级网表或其他低级描述的过程。
在ISE中,您可以通过选择“综合”选项来进行综合。
确保设置正确,然后点击“运行综合”按钮。
实现与映像调试一旦设计映像到目标设备,您可以进行调试。
在ISE中,您可以使用仿真器来模拟设计行为,并找出错误。
您还可以使用调试工具来分析信号波形、查看寄存器状态等。
确保所有功能都按照预期工作。
优化性能最后,您可以优化设计的性能。
在ISE中,您可以使用不同的优化技术来减少延迟、面积等。
通过调整参数和设置,您可以使设计更加高效和稳定。
确保优化后的设计满足性能要求。
总结在本文中,我们介绍了ISE的基本使用指南。
通过正确安装软件、开始一个新项目、编写代码、进行综合实现、调试和优化性能,您可以利用ISE开发高质量的程序。
希望这些信息对您有所帮助,祝您在使用ISE中取得成功!。
ISE软件使用说明
ISE软件使用说明ISE(Integrated Software Environment)软件是由赛灵思公司(Xilinx Inc.)开发的一款用于设计和开发数字电路的软件工具。
该软件提供了一个集成的环境,用于设计、模拟和验证数字电路。
本文将介绍ISE软件的安装和基本使用方法,以帮助用户快速上手。
一、安装ISE软件2.根据安装程序的提示,选择安装的目标文件夹和所需的组件。
3.等待安装程序完成安装。
二、打开ISE软件打开ISE软件后,会出现一个欢迎界面,用户可以选择新建项目、打开已有项目或者直接进入ISE工具链。
三、创建新项目1. 点击“New Project”按钮,进入新项目设置页面。
2.输入项目的名称和路径,选择项目类型和芯片系列。
3. 点击“Next”按钮,进入项目配置页面。
4.在此页面中,用户可以添加需要使用的源文件、约束文件和IP核等。
5. 点击“Next”按钮,进入总结页面。
6. 点击“Finish”按钮,完成项目创建。
四、设计源文件在ISE软件中,用户可以使用HDL(硬件描述语言)进行设计源文件的编写。
ISE软件支持的HDL语言有VHDL和Verilog。
1. 在项目视图中,右键点击“Source”文件夹,选择“New Source”。
2.在弹出的对话框中,选择源文件类型和语言。
3. 输入文件的名称和路径,点击“Finish”按钮。
五、添加约束文件约束文件用于定义电路的时序、引脚映射等信息,以确保电路的正常工作。
1. 在项目视图中,右键点击“Constraints”文件夹,选择“New Source”。
2.在弹出的对话框中,选择约束文件类型。
3. 输入文件的名称和路径,点击“Finish”按钮。
六、综合与实现在进行综合和实现之前,需要根据设计需求进行一些设置和配置。
1. 在项目视图中,右键点击项目名称,选择“Properties”。
2.在弹出的对话框中,选择“SYNTHESIS”或“IMPLEMENTATION”选项卡。
ISE入门级教程
1.启动ISE,出现以下对话框,点击OK。
出现ISE的主界面,在这里进行操作。
2.新建工程首先新建一个工程,如下图所示:File--New Project.以mux21为例,填写Project Name,并建立路径(默认路径即可),Top_Level Source Type 选择HDL(默认)。
点击Next。
下面要选择器件类型,大家可以观察一下板子的核心芯片,是XC9572XL,44个管脚,速度等级10,按以下选择器件,Family:XC9500XL CPLDS。
Device:XC9572XL。
Package:PC44。
Speed:-10。
选择好器件之后,点击Next,然后直接点击Next—Next—Finish。
3.新建文件(如果文件已经存在,则直接进行第4步添加文件)当我们建立了一个新的工程之后,下面就该编写V erilog文件了,如下图:File—New,然后选择Text File,点击OK。
在右边空白区域中编写代码,编写完毕之后,点击保存,默认路径即可。
4.向所建立工程中添加文件V erilog代码已经有了,但是还没有添加到工程中,下一步就是将文件添加到所建工程当中。
如下图,右击xc9572xl-10PC44,选择Add Source,点击要添加的文件,打开,在出现的对话框选择OK。
5.绑定管脚加入文件之后就可以进行绑定管脚了,如下图,先用鼠标点击左上侧红色框中的mux21.v,然后点开左下侧的User Constraints,右击Assign Package Pins,选择run,出现对话框,选择“yes”。
出现绑管脚的界面如下图,根据板子上的按键(输入)或者LED/数码管(输出)来填写下图左侧的红色区域(Loc)。
大家可以观察一下,当填完一个管脚后,点击其他地方,右侧芯片图上对应管脚会变成蓝色,表示该管脚已经被使用。
绑完管脚关闭即可。
6.综合、翻译到这一步,V erilog文件已经有了,管脚也已经绑定了,下面需要对它进行综合、翻译等操作,并检查有无错误。
ISE的使用说明
ISE的使用说明ISE(Integrated Software Environment)是一种集成软件环境,用于设计和验证硬件开发项目。
它由Xilinx公司开发,旨在为FPGA(Field-Programmable Gate Array)和SoC(System-on-a-Chip)设计提供完整的解决方案。
ISE具有多种功能和工具,可以帮助工程师在整个开发过程中完成各种任务。
ISE的安装:ISE的主要功能:ISE为硬件设计和验证提供了全面的解决方案。
以下是ISE的主要功能:2.综合和优化:ISE包含了综合和优化工具,将HDL代码转换为布尔函数表示。
综合工具会分析代码并生成等效的硬件电路电路。
优化工具会尝试将电路改进为更有效的形式,以提高性能和减少资源消耗。
3.约束和分析:在设计过程中,您通常需要对硬件进行约束,以满足特定的要求。
ISE提供了工具来定义时序约束、电气约束和物理约束,并分析设计是否满足这些约束。
4.实现和布局:一旦设计和优化完成,ISE将使用实现和布局工具将电路映射到目标FPGA或SoC中。
这些工具将选择适当的逻辑资源,并将其布局在特定的芯片区域,以最大程度地提高性能和效率。
5.仿真和调试:在设计过程中,您需要对硬件进行仿真和调试,以验证其正确性和性能。
ISE提供了仿真工具,可以对设计进行功能仿真、时序仿真和混合信号仿真。
它还提供了调试功能,可帮助您定位和解决问题。
以下是使用ISE的一般步骤:1.创建新工程:在ISE中,您需要先创建一个新的工程,用于存储和组织设计文件。
可以在ISE中创建一个新的工程,并选择适当的目标设备。
2. 添加设计文件:一旦工程创建完成,您可以添加设计文件到工程中。
通过右键单击工程文件夹,并选择“Add Source”来添加设计文件。
选择适当的文件类型,并在文件对话框中选择要添加的文件。
3. 设置约束:在设计过程中,您需要为硬件设计设置约束,以满足特定的要求。
通过右键单击工程文件夹,并选择“Add Constraints”来添加约束文件。
ISE使用指南中
ISE使用指南中ISE(Intelligent Software Engineering)是一种经验丰富的工具,用于支持软件开发过程中的各个阶段。
本指南将介绍ISE的基本概念和使用方法,以帮助用户充分利用该工具。
1.ISE的概述2.安装与配置3.项目管理ISE提供了一个强大的项目管理功能,可以帮助用户组织和管理各个项目。
用户可以创建新项目、导入现有项目或从版本控制系统中检出项目。
在项目管理面板中,用户可以查看项目的文件结构和依赖关系,并进行文件的增删改查等操作。
5.调试器ISE的调试器功能可以帮助用户定位和修复代码中的错误。
用户可以设置断点、单步执行代码、观察变量值和查看函数调用栈等。
调试器还支持远程调试功能,可以在远程计算机上调试代码。
6.版本控制7.自动化构建ISE支持自动化构建功能,可以帮助用户自动执行一系列的构建步骤,如编译、打包、发布和部署等。
用户可以通过配置文件或命令行参数来定义构建步骤,并将其添加到项目的构建脚本中。
8.单元测试ISE支持单元测试框架,如JUnit和PyTest等。
用户可以编写和运行单元测试用例,并查看测试结果和覆盖率报告。
ISE还提供了代码覆盖率分析功能,可以帮助用户评估测试用例的覆盖率。
9.效能分析ISE提供了效能分析工具,可以帮助用户评估代码的效率和性能。
用户可以收集和分析代码的运行时间、内存占用和CPU使用情况等。
ISE还提供了图形化界面和报表,以便用户更好地理解和优化代码的性能。
10.文档生成ISE支持自动化文档生成功能,可以帮助用户生成代码的文档和注释。
用户可以使用特定的注释标记来标识代码的文档化部分,然后使用ISE提供的工具生成文档。
ISE支持多种文档格式,如HTML、Markdown和PDF等。
总之,ISE是一款功能强大的工具,可以帮助开发人员提高软件开发的效率和质量。
本指南提供了对ISE的基本介绍和使用方法,希望能对用户的工作有所帮助。
具体的使用细节和高级功能可以参考ISE的官方文档和用户手册。
ISE操作说明
ISE操作说明1、双击打开ISE,选择“file->new project”新建一个工程。
2、修改工程保存路径并输入工程名,然后单击next。
3、选定芯片型号如下图所示。
然后一直单击next直到finish。
4、将源文件拷贝到新建的工程中。
5、单击synthesize进行综合。
6、进行引脚分配。
点开User Constraints,双击Assign Package Pins,弹出如下窗口,单击Yes。
7、弹出以下窗口。
8、按以下窗口进行管脚分配。
9、关闭窗口并保存窗口内容。
10、单击Implement Design 进行编译、布局、布线。
11、单击Generate Programing File生成下载文件。
12、单击Configure Device(iMAPCE),进入下载界面。
13、单击finish14、单击Bypass15、选择对应的模块,把**.bit文件加载进来16、加载完成之后会弹出一个警告,单击OK17、右键单击最后一个模块,选择program18、如图选择,单击OK,就可以下载了19、下载完成后,会出现如下图所示的窗口显示program succeeded。
20、以上操作是直接将二进制文件下载到FPGA中,掉电之后将丢失。
为使其重新上电时可自动配置,需要将配置文件下载到prom中保存。
因此,首先要生成prom配置文件。
双击Generate PROM、ACE or JTAG File,进入生成prom配置文件界面。
21、选择“Prepare a PROM File”,单击next。
22、键入要生成的PROM 名称,如下图所示。
23、单击add,添加prom器件。
单击next,直到finish。
24、单击ok。
25、选择二进制文件26、单击No。
27、单击ok。
28、单击Generate File,生成配置文件29、双击Baundary Scan,然后单击重新进行JTAG链扫描。
ISE软件使用说明
说明这个说明主要介绍了使用开发板可能使用到的3个工具,主要以串口功能的实现作为例子,讲述了ISE, Plantform和EDK的使用方法以及操作的基本流程。
ISE的使用一.启动Xilinx ISE:开始Æ所有程序ÆXilinx ISE 7.1iÆProject Navigator二.新建/打开一个工程:如果已经有了工程,则在FileÆOpen Project弹出的对话框里面选择你的工程。
如果没有合适的工程就需要通过FileÆNew Project来新建一个工程。
具体操作步骤如下:分别在Project Name和Project Location里面填写您想要创建的工程的名称和路径。
在Top-Level Module Type的下拉菜单里面选择您的工程的顶层模块的类型。
本次串口通信的实验的顶层为原理图,所以选择Schematic。
然后点击下一步:在这个对话框的上半部分选择您使用的芯片的族(Spartan3),型号(xc3s400),封装(pq208)和速度(-4)。
下面选择您要使用编译和综合的软件,建议使用ISE自带的软件,一是使用简便,二是Xilinx公司对自己的FPGA了解程度比第三方要高很多,所以使用Xilinx公司自己的软件有的时候会获得意想不到的收获。
具体参数选择如图,然后单击下一步:在这个对话框里面新建工程里面的文件,这步也可以放到后面来实现,这里我们先选择下一步:这一步用来添加已经存在的文件,同样,我们在后面实现这步。
点击下一步:在最后这个对话框里面显示了我们即将建立的工程的详细信息,确认无误以后点击完成,ISE将为您创建一个名字为uart_test的工程:三.为刚创建的工程添加源文件:1.添加已经存在的源文件:选中屏幕右上的xc3s400-4pq208,单击ProjectÆAdd Source,在弹出的对话框里面选择您已经存在的程序文件(.v .vhd)。
ISE使用指导
ISE的使用说明启动桌面上名为Project Navigator的ISE软件图标,进入ISE开发系统如图所示。
在上拉菜单file栏打开,单击New project选项,开始新建一项工程。
如果想打开已有的ISE工程文件(文件格式为*.npl),则单击open project选项。
下面我们将以一个包括了24进制和60进制计数器的复合计数器的VHDL程序为例,来说明ISE的具体使用。
首先单击New project选项,出现如图所示对话框:在Project name一栏填上工程文件名,我们在此工程名命名为counter,放在目录F:\teacher_li 下。
下一步,进行可编程器件型号的选择以及设计流程的设置。
在器件型号栏有Device family ,Device (型号),封装,speed grade,可以根据实验平台所用的可编程逻辑器件分别设置相应选项。
对话框下半部分是对设计语言和综合仿真工具的选择。
然后下一步,采用默认设置,完成了New Project Information的设置。
如图所示:单击“完成”按钮,进入到如下对话框:在Source in Project一栏,选择菜单Module View选项,在工程名counter的图标位置单击右键,出现如下对话框。
New Project :新建一项文件,单击ew Project图标,出现的对话框包括了以下选项:新建IP核,电路设计,状态机,新建测试波形,用户文档,V erilog编写文件,V erilog测试文件,VHDL库,VHDL编写文件,VHDL包,VHDL测试平台。
Add Source :添加一项已经存在的文件。
本例中,首先选择VHDL Module项,我们file name命名为count。
下一步,进行管脚信号名称,位数和方向的设置。
如下所示:设置好相关管脚后,下一步:单击“完成”。
上面对话框就是VHDL Module的编写界面,我们在此文档编写了如下的VHDL代码:library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;-- Uncomment the following lines to use the declarations that are-- provided for instantiating Xilinx primitive components.--library UNISIM;--use UNISIM.VComponents.all;entity count isPort ( reset: in std_logic;flag : in std_logic;clk: in std_logic;counter:out std_logic_vector(5 downto 0));end count;architecture Behavioral of count issignal count:std_logic_vector(5 downto 0);signal flag1:std_logic;begincounter<=count;process(reset,clk)beginif reset='1' thencount<="000000";flag1<='0';elsif clk'event and clk='1' thenflag1<=flag;--flag状态切换时,将计数器清零;if (flag='0' and flag1='1') or (flag='1' and flag1='0') thencount<="000000";elsif flag='0' and flag1='0' then -- flag='0' ,则进行24进制计数if count<23 thencount<=count+1;elsif count>=23 thencount<="000000";end if;elsif flag='1' and flag1='1' then -- flag='1' , 则进行60进制计数if count<59 thencount<=count+1;elsif count>=59 thencount<="000000";end if;end if;end if;end process;end Behavioral;在界面的Processes for Source一栏,是一系列综合工具。
ISE使用指南基础篇
第4章ISE开发环境使用指南第1节ISE套件的介绍与安装4.1.1 ISE简要介绍Xilinx是全球领先的可编程逻辑完整解决方案的供应商,研发、制造并销售应用范围广泛的高级集成电路、软件设计工具以及定义系统级功能的IP(Intellectual Property)核,长期以来一直推动着FPGA技术的发展。
Xilinx 的开发工具也在不断地升级,由早期的Foundation系列逐步发展到目前的ISE 9.1i系列,集成了FPGA开发需要的所有功能,其主要特点有:∙包含了Xilinx新型SmartCompile技术,可以将实现时间缩减2.5倍,能在最短的时间内提供最高的性能,提供了一个功能强大的设计收敛环境;∙全面支持Virtex-5系列器件(业界首款65nm FPGA);∙集成式的时序收敛环境有助于快速、轻松地识别FPGA设计的瓶颈;∙可以节省一个或多个速度等级的成本,并可在逻辑设计中实现最低的总成本。
Foundation Series ISE具有界面友好、操作简单的特点,再加上Xilinx的FPGA芯片占有很大的市场,使其成为非常通用的FPGA工具软件。
ISE作为高效的EDA设计工具集合,与第三方软件扬长补短,使软件功能越来越强大,为用户提供了更加丰富的Xilinx平台。
4.1.2 ISE功能简介ISE的主要功能包括设计输入、综合、仿真、实现和下载,涵盖了FPGA开发的全过程,从功能上讲,其工作流程无需借助任何第三方EDA软件。
∙设计输入:ISE提供的设计输入工具包括用于HDL代码输入和查看报告的ISE文本编辑器(The ISE Text Editor),用于原理图编辑的工具ECS(The Engineering Capture System),用于生成IP Core的Core Generator,用于状态机设计的StateCAD以及用于约束文件编辑的Constraint Editor等。
∙综合:ISE的综合工具不但包含了Xilinx自身提供的综合工具XST,同时还可以内嵌Mentor Graphics公司的LeonardoSpectrum和Synplicity公司的Synplify,实现无缝链接。
ISE软件的基本操作
4.1.4 ISE软件的基本操作1.ISE用户界面ISE9.1i的界面如图4-6所示,由上到下主要分为标题栏、菜单栏、工具栏、工程管理区、源文件编辑区、过程管理区、信息显示区、状态栏等8部分。
图4-6 ISE的主界面∙标题栏:主要显示当前工程的名称和当前打开的文件名称。
∙菜单栏:主要包括文件(File)、编辑(Edit)、视图(View)、工程(Project)、源文件(Source)、操作(Process)、窗口(Window)和帮助(Help)等8个下拉菜单。
其使用方法和常用的Windows软件类似。
∙工具栏:主要包含了常用命令的快捷按钮。
灵活运用工具栏可以极大地方便用户在ISE中的操作。
在工程管理中,此工具栏的运用极为频繁。
∙工程管理区:提供了工程以及其相关文件的显示和管理功能,主要包括源文件视图(Source View),快照视图(Snapshot View)和库视图(Library View)。
其中源文件视图比较常用,显示了源文件的层次关系。
快照是当前工程的备份,设计人员可以随时备份,也可以将当前工程随时恢复到某个备份状态。
快照视图用于查看当前工程的快照。
执行快照功能的方法是选择菜单项Project |Take Snapshot。
库视图则显示了工程中用户产生的库的内容。
∙源文件编辑区:源文件编辑区提供了源代码的编辑功能。
∙过程管理区:本窗口显示的内容取决于工程管理区中所选定的文件。
相关操作和FPGA设计流程紧密相关,包括设计输入、综合、仿真、实现和生成配置文件等。
对某个文件进行了相应的处理后,在处理步骤的前面会出现一个图标来表示该步骤的状态。
∙信息显示区:显示ISE中的处理信息,如操作步骤信息、警告信息和错误信息等。
信息显示区的下脚有两个标签,分别对应控制台信息区(Console)和文件查找区(Find in Files)。
如果设计出现了警告和错误,双击信息显示区的警告和错误标志,就能自动切换到源代码出错的地方。
ISE使用指南上
第4章ISE开发环境使用指南第1节ISE套件的介绍与安装4.1.1 ISE简要介绍Xilinx是全球领先的可编程逻辑完整解决方案的供应商,研发、制造并销售应用范围广泛的高级集成电路、软件设计工具以及定义系统级功能的IP(Intellectual Property)核,长期以来一直推动着FPGA技术的发展。
Xilinx的开发工具也在不断地升级,由早期的Foundation 系列逐步发展到目前的ISE 9.1i系列,集成了FPGA开发需要的所有功能,其主要特点有:∙包含了Xilinx新型SmartCompile技术,可以将实现时间缩减2.5倍,能在最短的时间内提供最高的性能,提供了一个功能强大的设计收敛环境;∙全面支持Virtex-5系列器件(业界首款65nm FPGA);∙集成式的时序收敛环境有助于快速、轻松地识别FPGA设计的瓶颈;∙可以节省一个或多个速度等级的成本,并可在逻辑设计中实现最低的总成本。
Foundation Series ISE具有界面友好、操作简单的特点,再加上Xilinx的FPGA芯片占有很大的市场,使其成为非常通用的FPGA工具软件。
ISE作为高效的EDA设计工具集合,与第三方软件扬长补短,使软件功能越来越强大,为用户提供了更加丰富的Xilinx平台。
4.1.2 ISE功能简介ISE的主要功能包括设计输入、综合、仿真、实现和下载,涵盖了FPGA开发的全过程,从功能上讲,其工作流程无需借助任何第三方EDA软件。
∙设计输入:ISE提供的设计输入工具包括用于HDL代码输入和查看报告的ISE文本编辑器(The ISE Text Editor),用于原理图编辑的工具ECS(The Engineering Capture System),用于生成IP Core的Core Generator,用于状态机设计的StateCAD以及用于约束文件编辑的Constraint Editor等。
∙综合:ISE的综合工具不但包含了Xilinx自身提供的综合工具XST,同时还可以内嵌Mentor Graphics公司的LeonardoSpectrum和Synplicity公司的Synplify,实现无缝链接。
ISE10.1快速入门(实例)
ISE快速入门(Lab0)——秒表修改记录审核记录目录目录修改记录 (2)审核记录 (2)目录 (3)实验详细步骤 (4)实验详细步骤(一)启动ISE要启动ISE,双击桌面ISE图标或者从开始菜单中启动,依次选择开始−> 所有程序−> Xilinx ISE Design Suit 10.1 −> ISE −> Project Navigator,打开ISE工具;(二)创建工程项目(1) 在ISE窗口,依次选择File > New Project... ,然后看到New ProjectWizard窗口弹出(2) 在Project Name中输入clock(3) 在Project Location中浏览选择一个文件夹或者在输入栏中手工输入一个项目存放的路径(不能用中文路径),一个子目录clock会自动生成;(4) 在Top-Level Source Type下拉菜单栏目中图1:新建项目向导(5) 然后点击Next,切换到device properties页面对话框;(6) 在相应栏目中填入属性,具体如下所示:Product Category: All● Family: Spartan3E● Device: XC3S100E● Package:QT144● Speed Grade: -5● Top-Level Module Type: HDL● Synthesis Tool: XST (VHDL/Verilog)● Simulator: ISE Simulator (VHDL/Verilog)● 确认Enable Enhanced Design Summary 已经被选中其他选项保留默认值完成之后项目设备属性对话框如下图所示:图2:项目设备属性(7) 点击Next,到创建新项目源文件对话框;图3:创建新的源文件(三)创建Verilog 模块源文件 (1) 在创建新的源文件对话框中点击New Source ,打开New Source Wizard 对话框;(2) 在对话框的 Source type 中选择Verilog Module (3) 输入模块文件名clock ;(4) 确认Add to Project 按钮被选中;图4:选择新建文件类型(5)点击Next,弹出模块端口声明对话框,定义clock模块的端口声明如下:● Clk input● Reset input●Start input● Anodes output MSB:3 LSB:0●Cathodes output MSB:6 LSB:0MSB和LSB代表变量的最高位和最低位,这里Anodes的位宽为4,所以最高位为3,最低位都是0;Cathodes的位宽为7,所以最高位为6,最低位都是0;(6)点击next,检验新source模块文件设置如下图所示:(7)然后点击Finish完成创建新源文件向导;在创建新的源文件的窗口中点击Next(8)点击next,然后点击完成,就会在Workspace中看到包含clock模块的源代码文件,如图所示:(9)继续完成代码模块修改,在endmodule声明之前,添加下行代码到模块中;reg [21:0] Count;reg [3:0] Msec; //0.1sreg [3:0] Sec1; //秒个位reg [3:0] Sec2; //秒十位reg Flag; //0.1s进位标志//0.1s的计数器always @ (posedge Clk) beginif(Reset | (!Start) )Count <= 0;else if(Count == 24000_00) beginFlag <= 1'b1;Count <= 'd0;endelse beginFlag <= 1'b0;Count <= Count + 1'b1;endend//Msec从0计数到9时归零always @ ( posedge Clk ) beginif(Reset | (!Start) )Msec <= 4'h0;else if( Flag && (Msec==9) )Msec <= 4'h0;else if( Flag )Msec <= Msec + 1'b1;end//秒个位从0计数到9时归零always @ ( posedge Clk ) beginif(Reset | (!Start) )Sec1 <= 4'h0;else if( (Sec1==9) && (Msec==9) && Flag )Sec1 <= 4'h0;else if( (Msec==9) && Flag )Sec1 <= Sec1 + 1'b1;end//秒十位从0计数到9时归零always @ ( posedge Clk ) beginif(Reset | (!Start) )Sec2 <= 4'h0;else if( (Sec2==5) && (Sec1==9) && (Msec==9) && Flag ) Sec2 <= 4'h0;else if( (Sec1==9) && (Msec==9) && Flag )Sec2 <= Sec2 + 1'b1;end//数码管显示控制reg [3:0] Anodes_r;reg [6:0] Cathodes_r;reg [15:0] Count2;//动态显示数码管位选always @ (posedge Clk) beginif(Reset | (!Start) ) beginAnodes_r <= 4'b1110;Count2 <= 0;endelse if(Count2==24000) beginAnodes_r <= {Anodes_r[2:0],Anodes_r[3]};Count2 <= 0;endelse Count2 <= Count2 + 1'b1;end//动态显示数码管段选always @ (posedge Clk) beginif(Reset | (!Start) )Cathodes_r <= 7'b1111111;else case(Anodes_r)4'b1110: begincase(Msec)0: Cathodes_r <= 7'b1000000;1: Cathodes_r <= 7'b1111001;2: Cathodes_r <= 7'b0100100;3: Cathodes_r <= 7'b0110000;4: Cathodes_r <= 7'b0011001;5: Cathodes_r <= 7'b0010010;6: Cathodes_r <= 7'b0000010;7: Cathodes_r <= 7'b1111000;8: Cathodes_r <= 7'b0000000;9: Cathodes_r <= 7'b0010000;default Cathodes_r <= 7'b1111111;endcaseend4'b1101: Cathodes_r <= 7'b0111111;4'b1011: begincase(Sec1)0: Cathodes_r <= 7'b1000000;1: Cathodes_r <= 7'b1111001;2: Cathodes_r <= 7'b0100100;3: Cathodes_r <= 7'b0110000;4: Cathodes_r <= 7'b0011001;5: Cathodes_r <= 7'b0010010;6: Cathodes_r <= 7'b0000010;7: Cathodes_r <= 7'b1111000;8: Cathodes_r <= 7'b0000000;9: Cathodes_r <= 7'b0010000;default Cathodes_r <= 7'b1111111;endcaseend4'b0111: begincase(Sec2)0: Cathodes_r <= 7'b1000000;1: Cathodes_r <= 7'b1111001;2: Cathodes_r <= 7'b0100100;3: Cathodes_r <= 7'b0110000;4: Cathodes_r <= 7'b0011001;5: Cathodes_r <= 7'b0010010;6: Cathodes_r <= 7'b0000010;7: Cathodes_r <= 7'b1111000;8: Cathodes_r <= 7'b0000000;9: Cathodes_r <= 7'b0010000;default Cathodes_r <= 7'b1111111;endcaseenddefault Cathodes_r <= 7'b1111111;endcaseendassign Anodes = Anodes_r;assign Cathodes = Cathodes_r;(10)选择File > Save保存所有修改;现在你已经完成了创建clock模块的工作。
ISE基本操作
1 在服务器linux下建立新用户,包括口令和密码。
2 安装exceed网络访问软件,按照提示进行安装。
设置服务器ip地址,192.168.1.883 通过进入进入,输入用户名和密码进入linux操作系统。
Terminal中输入/ISE/bin/GENESISe。
进入/ISE/bin/custom4 将师兄的文件考入自己建的目录下面(否则不能保存,软件问题),打开目录下面所需要的,进入。
点击右键,出现,选择Boundary。
进入mdraw 界面,绘制器件结构。
5首先选择绘制器件结构,选中是“坑”。
在中选择SiC材料,用画矩形,选中,再点击矩形的各个端点,输入坐标位置。
其中,坐标单位默认为um。
依次画出器件的其他部分结构,宽度对器件的性能的影响不大,不需要精确定位。
和调解大小。
使用中的zoom reset也可以达到效果。
(Mdraw中默认的是um 微米)6在中添加新的电极名(cathode,anode),框中存在的电极表示要添加的。
再点击按钮,在图中相应的位置点击下,添加接触。
删除不需要的部分。
Preferences调节相应的参数。
7选择掺杂。
点击(均匀掺杂),在窗口的区域里面划动鼠标,选取掺杂区域,在窗口里面,确定掺杂区域对角线端点坐标,掺杂种类和掺杂浓度。
依次对各个区域进行掺杂。
8划分网格。
点击,在窗口的某个区域里面划动鼠标,出现窗口。
由此,确定划分网格区域的对角坐标,网格划分的最大值和最小值,网格大小的划分直接影响结果的收敛性,所以要不断调整,(一般原则,网格的划分最大值一般取作全长的1/10,最小值取作最大值的一半)。
还要在中添加掺杂种类。
其中还有一点要注意,对于不规则图形,默认区域的网格划分也要设定。
默认区域是指最大的矩形。
在菜单里面选择选择默认区域,设置它的对角线坐标值应该是最大的区域,同时划分网格大小,在中添加掺杂种类点击ok。
点击键,可以查看网格划分和掺杂信息(掺杂点端点,网格点区域)。
ISE的使用说明
ISE的使用说明ISE是集成电路设计中常用的一种工具,是一种综合软件环境,它用于设计目的,例如创建和测试电路设计的逻辑模型。
本文将详细介绍ISE 的使用说明,包括安装步骤、主要功能、常用操作和调试技巧。
一、安装步骤2.运行安装程序:双击安装程序启动安装流程,按照提示完成安装向导。
3.设置安装选项:在安装向导中,您可以选择安装目录、添加快捷方式和其他个性化设置。
4.完成安装:等待安装程序完成所有必要文件的复制和配置,安装完成后重启计算机。
二、主要功能1.逻辑设计:ISE提供了丰富的逻辑设计工具,包括原理图设计、硬件描述语言编写和逻辑优化等功能。
用户可以通过拖放元件、连接线和逻辑门,创建电路的逻辑模型。
2.约束设置:ISE允许用户定义各种约束条件,如时钟频率、延迟限制和电气规范等。
这些约束条件对于确保设计的正确性和性能至关重要。
3.仿真和验证:ISE提供了强大的仿真和验证工具,以验证设计的功能和时序正确性。
用户可以模拟不同输入情况下的电路行为,并通过波形查看器等工具进行调试和分析。
4.综合和布局布线:ISE可以将逻辑设计综合为电路网表,并根据指定的目标器件和约束条件进行布局布线。
综合和布局布线的结果直接影响电路的性能和可靠性。
6.文档生成:ISE可以根据设计规范和用户的需求,自动生成各种设计文档,如用户手册、接口定义和设计报告等。
这些文档对于设计团队的交流和项目管理非常重要。
三、常用操作2.添加文件:在工程中,用户可以添加设计文件、约束文件和仿真文件等。
这些文件描述了电路的结构、约束条件和仿真模型,是设计的基础。
3.进行综合和优化:在添加文件后,用户需要对设计进行综合和优化,以便生成电路网表。
综合和优化的操作可以通过综合工具和约束文件完成。
4.进行布局布线:综合完成后,用户需要对设计进行布局布线,以生成具体的物理布局。
布局布线的操作可以通过布局布线工具和约束文件完成。
5.进行仿真和验证:在布局布线完成后,用户可以使用ISE提供的仿真和验证工具,对设计进行功能和时序验证。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
第4章ISE开发环境使用指南第1节ISE套件的介绍与安装4.1.1 ISE简要介绍Xilinx是全球领先的可编程逻辑完整解决方案的供应商,研发、制造并销售应用范围广泛的高级集成电路、软件设计工具以及定义系统级功能的IP(Intellectual Property)核,长期以来一直推动着FPGA技术的发展。
Xilinx 的开发工具也在不断地升级,由早期的Foundation系列逐步发展到目前的ISE 9.1i系列,集成了FPGA开发需要的所有功能,其主要特点有:∙包含了Xilinx新型SmartCompile技术,可以将实现时间缩减2.5倍,能在最短的时间内提供最高的性能,提供了一个功能强大的设计收敛环境;∙全面支持Virtex-5系列器件(业界首款65nm FPGA);∙集成式的时序收敛环境有助于快速、轻松地识别FPGA设计的瓶颈;∙可以节省一个或多个速度等级的成本,并可在逻辑设计中实现最低的总成本。
Foundation Series ISE具有界面友好、操作简单的特点,再加上Xilinx的FPGA芯片占有很大的市场,使其成为非常通用的FPGA工具软件。
ISE作为高效的EDA设计工具集合,与第三方软件扬长补短,使软件功能越来越强大,为用户提供了更加丰富的Xilinx平台。
4.1.2 ISE功能简介ISE的主要功能包括设计输入、综合、仿真、实现和下载,涵盖了FPGA开发的全过程,从功能上讲,其工作流程无需借助任何第三方EDA软件。
∙设计输入:ISE提供的设计输入工具包括用于HDL代码输入和查看报告的ISE文本编辑器(The ISE Text Editor),用于原理图编辑的工具ECS(The Engineering Capture System),用于生成IP Core的Core Generator,用于状态机设计的StateCAD以及用于约束文件编辑的Constraint Editor等。
∙综合:ISE的综合工具不但包含了Xilinx自身提供的综合工具XST,同时还可以内嵌Mentor Graphics公司的LeonardoSpectrum和Synplicity公司的Synplify,实现无缝链接。
∙仿真:ISE本身自带了一个具有图形化波形编辑功能的仿真工具HDL Bencher,同时又提供了使用Model Tech公司的Modelsim进行仿真的接口。
∙实现:此功能包括了翻译、映射、布局布线等,还具备时序分析、管脚指定以及增量设计等高级功能。
∙下载:下载功能包括了BitGen,用于将布局布线后的设计文件转换为位流文件,还包括了ImPACT,功能是进行设备配置和通信,控制将程序烧写到FPGA芯片中去。
∙使用ISE进行FPGA设计的各个过程可能涉及到的设计工具如表4-1所示。
表4-1 ISE设计工具表4.1.3 ISE软件的安装E9.1软件安装的基本硬件要求如下:CPU在P III以上,内存大于256M,硬盘大于4G的硬件环境安装。
为了更好地使用软件,至少需要512M内存,CPU的主频在2GHz以上。
本书使用的集成开发环境是ISE 9.1,仿真工具是ModelSim 6.2b,综合工具为Synplify Pro 8.8。
其中ISE、ModelSim软件和Synplify软件不同版本之间的差异不是很大,所以操作和设计结果的差别也是很小的。
具体安装过程如下:1.光盘放进DVD光驱,等待其自动运行(如果没有自动运行,直接执行光盘目录下的Setup.exe文件程序即可),会弹出图4-1所示的欢迎界面,点击―Next‖进入下一页。
图4-1 ISE安装过程的欢迎界面2.接着进入注册码获取、输入对话框,如图4-2所示。
注册码可以通过网站、邮件和传真方式申请注册码。
如果已有注册码,输入后单击―Next‖按键后继续。
图4-2 ISE9.1安装程序的注册码输入界面购买了正版软件后,最常用的方法就是通过网站注册获取安装所需的注册码。
首先在Xilinx的官方主页上建立自己的帐号,然后点击图4-1中的―Website‖按键,登陆帐号,输入CD盒上的产品序列号(序号的格式为:3个字符+9个数字),会自动生成16位的注册码,直接记录下来即可,同时Xilinx网站会将注册码的详细信息发送到帐号所对应的邮箱中。
3.下一个对话框是Xilinx软件的授权声明对话框,选中―I accept the terms of this software license‖,单击―Next‖后进入安装路径选择界面,如图4-3所示。
单击―Browse‖按键后选择自定义安装路径,单击―Next‖按键继续图4-3 ISE软件安装路径选择对话框4.接下来的几个对话框分别是选择安装组件选择,如图4-4所示,用户需要选择自己使用的芯片所对应的模块,这样才能在开发中使用这些模块。
在计算机硬盘资源不紧张的情况下,通常选择―Select All‖。
图4-4 ISE安装组件选择界面5.随后进入设置环境变量页面,保持默认即可。
如果环境变量设置错误,则安装后不能正常启动ISE。
选择默认选项,安装完成后,在―我的电脑‖上单击右键,选择属性环境变量中,可看到名为―Xilinx‖的环境变量,其值为安装路径。
最后进入安装确认对话框,单击Install按钮,即可按照用户的设置自动安装ISE,如图4-5所示。
图4-5 ISE安装进程示意图6.安装完成后,会在桌面以及程序菜单中添加Project Navigator的快捷方式。
双击即可进入ISE集成开发环境。
4.1.4 ISE软件的基本操作1.ISE用户界面ISE9.1i的界面如图4-6所示,由上到下主要分为标题栏、菜单栏、工具栏、工程管理区、源文件编辑区、过程管理区、信息显示区、状态栏等8部分。
图4-6 ISE的主界面∙标题栏:主要显示当前工程的名称和当前打开的文件名称。
∙菜单栏:主要包括文件(File)、编辑(Edit)、视图(View)、工程(Project)、源文件(Source)、操作(Process)、窗口(Window)和帮助(Help)等8个下拉菜单。
其使用方法和常用的Windows软件类似。
∙工具栏:主要包含了常用命令的快捷按钮。
灵活运用工具栏可以极大地方便用户在ISE中的操作。
在工程管理中,此工具栏的运用极为频繁。
∙工程管理区:提供了工程以及其相关文件的显示和管理功能,主要包括源文件视图(Source View),快照视图(Snapshot View)和库视图(Library View)。
其中源文件视图比较常用,显示了源文件的层次关系。
快照是当前工程的备份,设计人员可以随时备份,也可以将当前工程随时恢复到某个备份状态。
快照视图用于查看当前工程的快照。
执行快照功能的方法是选择菜单项Project | Take Snapshot。
库视图则显示了工程中用户产生的库的内容。
∙源文件编辑区:源文件编辑区提供了源代码的编辑功能。
∙过程管理区:本窗口显示的内容取决于工程管理区中所选定的文件。
相关操作和FPGA设计流程紧密相关,包括设计输入、综合、仿真、实现和生成配置文件等。
对某个文件进行了相应的处理后,在处理步骤的前面会出现一个图标来表示该步骤的状态。
∙信息显示区:显示ISE中的处理信息,如操作步骤信息、警告信息和错误信息等。
信息显示区的下脚有两个标签,分别对应控制台信息区(Console)和文件查找区(Find in Files)。
如果设计出现了警告和错误,双击信息显示区的警告和错误标志,就能自动切换到源代码出错的地方。
∙状态栏:显示相关命令和操作的信息。
2.ISE菜单的基本操作ISE所有的操作都可通过菜单完成,下面简要介绍ISE的菜单命令以及功能。
(1)File菜单File菜单的命令包括:New Project、Open Project、Open Examples、Close Project、Save Project As、New、Open、Save、Save As、Save All、Print Preview、Print、Recent Files、Recent Projects以及Exit等。
New Project命令:用于新建工程,是开始设计的第一步。
ISE会为新建的工程创建一个和工程同名的文件夹,专门用于存放工程的所有文件。
Open Project命令:用于打开已有的ISE工程。
高版本的ISE可以打开低版本的工程,但需要版本转换,该转换是单向的、不可逆的,因此需要做好版本备份。
低版本的ISE不能打开高版本的ISE工程。
Open Examples命令:用于打开ISE提供的各种类型的示例。
Close Project命令:关闭当前工程。
如果关闭前未保存文件,ISE会提示用户保存后再退出。
Save Project As命令:可将整个工程另存为其他名字的工程,在大型开发中,常使用该命令来完成版本备份。
New命令:用于新建源文件,可生成原理图、符号以及文本文件。
文本文件另存为时可修改其后缀名,以生成.v 或.vhd的源文件。
Open命令:用于打开所有Xilinx所支持的文件格式,便于用户查看各类文件资源。
Save、Save As以及Save All命令:分别用于保存当前源文件、另存为当前源文件以及保存所有源文件。
用户要在开发当中养成及时保存文件的习惯,避免代码丢失。
Print Preview命令:用于打印预览当前文件,Print用于打印当前文件。
Recent Files命令:用于查看最近打开的文件。
Recent Projects命令:用于查看最近打开的工程。
Exit命令:用于退出ISE软件。
(2)Edit菜单Edit菜单的命令包括:Undo、Redo、Cut、Copy、Paste、Delete、Find、Find Next、Find in Files、Language Templates、Select All、Unselect All、Message Filters、Object Properties以及Preference等,大多数命令用于源代码开发中。
Undo命令:用于撤销当前操作,返回到前一状态。
Redo命令:是Undo命令的逆操作,用于恢复被撤销的操作。
Cut命令:剪贴选中的代码, 快捷键为―CRTL+X‖。
Copy命令:复制选中的代码, 快捷键为―CRTL+C‖。
Paste命令:粘贴剪贴和复制的代码, 快捷键为―CRTL+V‖。
Delete命令:删除选中的代码。
Find命令:查找选中的文字,或寻找在其输入框中输入的内容,快捷键为―CRTL+F‖。
Find Next命令:寻找下一个要查找的内容,并跳至相应的位置,快捷键为―F3 ‖。
Language Templates命令:可打开语言模版,里面有丰富的学习资料,是非常完整的HDL语言帮助手册,其地位类似于VisualC++的MSDN。