6位数字频率计
6位十进制频率计实践报告
6位十进制频率计实践报告实验目的:通过使用6位十进制频率计来测量不同信号源的频率,并探索其在实际应用中的使用情况。
实验原理:1.6位十进制频率计是一种用于测量频率的仪器,可以将输入信号的频率转换为十进制数来显示。
2.6位十进制频率计采用数字频率计数器的工作原理,其中频率信号经过频率分频器分频后,使用计数器进行计数,最后将计数结果通过数码显示器以十进制形式显示出来。
实验步骤:1.连接信号源:将信号源的输出端通过BNC线缆连接到6位十进制频率计的输入端。
2.设置分频方式:根据输入信号的频率范围,选择适当的分频方式以保证频率计能够测量到。
3.设置计数时间:根据所测信号的频率稳定性,选择适当的计数时间来提高测量精度。
4.开始测量:打开信号源和频率计的电源,调节信号源输出的频率,观察并记录频率计的测量结果。
5.测量不同信号源:重复步骤4,使用不同的信号源进行测量,比较结果。
实验结果:通过实验,我们测量了不同频率的信号源,并记录了其测量结果。
实验中使用的6位十进制频率计可以测量的频率范围为10Hz至100MHz,具有较高的精度和稳定性。
讨论与分析:1.实验结果表明,6位十进制频率计能够准确地测量不同频率信号的频率,并把结果以十进制数的形式显示出来。
2.在实际应用中,6位十进制频率计可以广泛用于电子设备的频率测量,如电视、收音机、无线通讯等领域。
3.6位十进制频率计的主要优点是精度高、稳定性好,而且操作简便,适用于各种场合。
4.需要注意的是,在测量高频信号时,我们需要选择适当的分频方式来确保频率计能够正常工作。
结论:通过实践,我们了解了6位十进制频率计的工作原理和使用方法,并通过实验验证了其测量准确性和稳定性。
6位十进制频率计在实际应用中具有广泛的应用前景,并可以在不同领域的电子设备中发挥重要作用。
数字频率计
摘要在当今社会,随着电子计算机,通讯设备,音频视频等的使用,频率计也越来越频繁的被使用,频率计的发展也变得尤为重要。
频率计是一种基本的测量仪器,是用数字显示被测信号频率的仪器,被测信号可以是正弦波,方波或其它周期性变化的信号。
因此,它被广泛应用与航天,电子,测控等领域。
它的基本测量原理是,首先让被测信号与标准信号一起通过一个闸门,然后用计数器计数信号脉冲的个数,把标准时间内的计数的结果,用锁存器所存起来,最后用显示译码器,把锁存的结果用LED数码显示管显示出来。
在实际上的硬件设计用到的器件较多,连线也比较复杂,而且会产生比较大的延时,造成测量误差,可靠性差。
随着复杂可编程逻辑器件(CPLD)的广泛应用,以EDA 工具作为开发手段,运用VHDL语言,将使整个系统大大简化,提高整体的性能和可靠性。
数字频率计是最基本的参数之一,并且与许多电参量的测量方案,测量结果都有十分紧密的联系,因此频率的测量就显得更为重要。
频率计测频有两种方式:一是直接测频法;二是间接测频法。
本次设计的内容是一款基于8位单片机AT89C51的测频系统。
该装置由输入部分与显示部分组成,输入部分可以收到发射机送来的信号,并通过单片机再传到显像管。
此系统具有测量频率和带宽等功能。
关键词:频率计;直接测频法;间接测频法;单片机AT89C51AbstractIn the society , along with the electronic accounting machine computer , the communication equipment , the audio frequency video frequency and so on use , the frequency meter also more and more frequent is used now , frequency meter's development also becomes especially important. The frequency meter is one kind of basic metering equipment , is with the digit demonstrated that was measured the signaling frequency the instrument , was measured the signal may be the sine wave , the square-wave or other periodic variation signal .Therefore , it is widely applied and domains and so on astronautics , electron , observation. Its preliminary survey principle is ,first lets measure that the s ignal and the standard signal through a strobe, then with the counter counting signal pulse's integer , standard time's in counting's result, save together with the latch lock ,finally uses to demonstrate the decoder , saves the result the lock to demonstrate with the LED numerical code display tube. The component which uses in the hardware design in fact are many , the segment is also quite complex , will have the quite big time delay ,will cause the measuring error , the reliability to be bad. Along with complex programmable logical component (CPLD) the widespread application, takes the development method by the EDA tool,utilizes the VHDL language , will cause the overall system big simplification , enhances the whole the performance and the reliability . The digital frequency meter is one of most basic parameters,and with many electricity parameter's survey plan , the measurement result has close contacting ,therefore the frequency survey appears more important. The frequency meter frequency measurement has two ways ;First, direct frequency measurement law; Second, indirect frequency measurement law.This design's content is one section is composed based on 8 monolithic integrated circuit AT89C51 frequency measurement system this equipment by the input section and the demonstration part, the input section may receive the signal which the transmitter sends, and passes to the teletron again through the monolithic integrated circuit .This system has functions and so on survey frequency and band width.Keyword:the digital of frequency meter;direct frequency measurement law;indirect frequency measurement law ;monolthic integrated circuit AT89C51目录摘要 (I)Abstract (II)第1章引言 (1)第2章频率计系统设计方案 (4)2.1 总体设计 (4)2.1.1设计任务 (4)2.1.2设计指标 (4)2.1.3基本方案 (4)2.2 硬件系统 (6)2.2.1AT89C51 (6)2.2.2存储器 (7)2.3 软件系统 (7)2.3.1 AT89C51 测频的软件实现原理: (7)第3章硬件系统 (9)3.1 概述 (9)3.2 单片机引脚功能 (9)3.2.1电源引脚 (9)3.2.2外部晶振引脚 (10)3.2.3 RST/VDD(9) (10)3.2.4 ALE/PROG(30) (10)3.2.5 PSEN(29) (11)3.2.6 EA /VPP(35) (11)3.2.7 并行I/O口 (11)3.2.8 定时器/计数器T0、T1 (13)3.2.9 振荡器特性 (14)3.2.10芯片擦除 (14)3.2.11空闲节电模式 (15)3.2.12掉电模式 (15)3.3 系统扩展设计 (16)3.3.1 看门狗电路及其监控系统 (16)3.3.2 外接ROM和RAM (18)3.3.3 8155接口与LED的连接电路 (20)3.3.4显示系统设计 (21)3.4 信号的调理设计 (23)3.5 定时器的设计 (25)3.6 电源设计 (26)3.6.1变压 (27)3.6.2整流 (27)3.6.3滤波 (27)3.6.4稳压 (28)3.7 抗干扰设计 (28)3.7.1干扰对微机的作用 (28)3.7.2介绍硬件的抗干扰措施 (29)第4章软件设计 (30)4.1 概述 (30)4.2 主程序框图 (30)4.3 显示子程序框图 (31)4.4 T1中断子程序框图 (32)4.5 主程序源程序 (32)4.6 显示子程序源程序 (34)4.7 定时1秒的源程序 (35)第5章结论 (37)参考文献 (38)致谢 (39)附录 (40)第1章引言频率计的应用范围很广,不仅应用于一般的仪器测量当中,还可应用于工业控制等其它领域。
简单的数字频率计
北航电工电子中心
简单数字频率计(续) 6、用11.0592MHz无源晶振和非门、阻容元件等组成一个波形 发生器,用示波器观察信号的波形并它们画下来。
7、用所提供的元器件设计一个60分频的计数器。 8、用CD4060和晶振或阻容元件等设计一定频率的振荡器电路。
北航电工电子中心
简单数字频率计(续) 五、实验中的注意事项
1、集成电路芯片的管脚要弄清,电源和地不要接错。
2、有源晶振电源和地以及输出端不要接错。 3、74LS90计数器的清零和置9端接线时要注意,若两个清零 端和两个置9端都接有效电平时芯片工作正常就按照此方法 连接,若工作不正常,此时可以将两个清零端和两个置9端 只接其中一个端,另外一端可悬空,这样可以解决74LS90 计数器工作不正常的问题。 4、CD4060分频器输出信号有反向和正向的特点。
北航电工电子中心
简单数字频率计(续) 5)译码电路:将BCD码编译成七段码。 6)显示电路:将七段码接到共阴极或共阳极数码管和限流电阻上, 这样就可以把相应的通过闸门电路的脉冲数显示出来。
北航电工电子中心
简单数字频率计(续)
2、数字频率计的应用 通过启动测试开关,把被测试信号的频率显示在显 示设备上。
北航电工电子中心
简单数字频率计(续) 二、实验原理及其应用 1、数字频率计组成框图
被测信号 发生器
闸门 电路
十进制 计数器
译码 电路
显示 电路
时钟基准 信号发生
单脉冲 发生器
门控信号
器及分频
(门控 电路)
北航电工电子中心
简单数字频率计(续) 1)闸门电路:它由与非门组成,把被测试信号加到一个输入端, 门控信号加到另一个输入端。门控信号控制闸门电路的开和关。 2)时钟基准信号发生器及分频:可采用非门和阻容元件等组成, 也可采用石英晶体振荡器和分频器等组成。前者产生频率精度、 稳定性差;后者产生频率已知、精度高、稳定性好。 分频:把来自基准信号发生器的信号进行分频,以改变门控信号 的宽度。 3)单脉冲发生器(门控电路):把来自分频的周期性信号变成单脉冲 即门控信号。 4)十进制计数器:对通过闸门电路的脉冲信号进行计数。
EDA设计 六位频率计的设计 精品
EDA技术课程设计报告六位频率计的设计一概述1.1设计背景及意义技术是以大规模为设计载体,以硬件语言为系统逻辑描述的主要方式,以计算机、大规模可编程逻辑器件的开发软件及实验开发系统为设计,通过有关的开发软件,自动完成用软件设计的系统到硬件系统的设计,最终形成集成电子系统或专用的一门新技术。
其设计的灵活性使得EDA技术得以快速发展和广泛应用。
在电子领域内,频率是一种最基本的参数,并与其他许多电参量的测量方案和测量结果都有着十分密切的关系。
由于频率信号抗干扰能力强、易于传输,可以获得较高的测量精度。
因此,频率的测量就显得尤为重要,测频方法的研究越来越受到重视。
频率计作为测量仪器的一种,常称为电子计数器,它的基本功能是测量信号的频率和周期频率计的应用范围很广,它不仅应用于一般的简单仪器测量,而且还广泛应用于教学、科研、高精度仪器测量、工业控制等其它领域。
在数字电路中,数字频率计属于时序电路,它主要由具有记忆功能的触发器构成。
在计算机及各种数字仪表中,都得到了广泛的应用。
在CMOS电路系列产品中,数字频率计时量程最大、品种很多的产品,是计算机、通讯设备、音频视频的科研生产领域不可缺少的测量仪器,并且与许多电参量的测量方案、测量结果都有十分密切的关系。
因此,频率的测量就显得更为重要。
本设计设计6位频率计,以触发器和计数器为核心,由信号输入、触发、计数、数据处理和数据显示等功能模块组成。
本次采用QuartusII的宏元件和VHDL 语言设计两种方法来设计6位频率计,提高了测量频率的范围。
1.2设计任务与要求1.21设计任务:采用原理图设计并制作六位十进制频率计,用VHDL语言方法设计并制作六位十六进制频率计。
1.22设计要求:a)参考信号频率为1Hz;b)测量频率范围:六位十进制频率计:1Hz~100kHz;六位十六进制频率计:1Hz~4MHz;c)结果能用数码显示器显示二六位频率计的工作原理2.1频率计的设计框图数字频率计的关键组成部分包括测频控制、、锁存器、译码驱动和显示电路,其原理框图如图1所示。
6位数字显示频率计数器电路及51单片机源程序-10页文档资料
6位数字显示频率计数器电路及51单片机源程序1.频率计算器功能利用AT89S51单片机的T0、T1的定时计数器功能,来完成对输入的信号进行频率计数,计数的频率结果通过8位动态数码管显示出来。
要求能够对0-250KHZ的信号频率进行准确计数,计数误差不超过±1HZ。
2.电路原理图3.程序设计内容(1).定时/计数器T0和T1的工作方式设置,由图可知,T0是工作在计数状态下,对输入的频率信号进行计数,但对工作在计数状态下的T0,最大计数值为fOSC/24,由于fOSC=12MHz,因此:T0的最大计数频率为250KHz。
对于频率的概念就是在一秒只数脉冲的个数,即为频率值。
所以T1工作在定时状态下,每定时1秒中到,就停止T0的计数,而从T0的计数单元中读取计数的数值,然后进行数据处理。
送到数码管显示出来。
(2).T1工作在定时状态下,最大定时时间为65ms,达不到1秒的定时,所以采用定时50ms,共定时20次,即可完成1秒的定时功能。
5.C语言源程序C程序#include <AT89X51.h>unsigned CHAR code dispbit[]={0xfe,0xfd,0xfb,0xf7,0xef,0xdf,0xbf,0x7f};unsigned CHAR code dispcode[]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x7f,0x6f,0x00,0x40};unsigned CHAR dispbuf[8]={0,0,0,0,0,0,10,10};unsigned CHAR temp[8];unsigned CHAR dispcount;unsigned CHAR T0count;unsigned CHAR timecount;bit flag;unsigned long x;void main(void)unsigned CHAR i;TMOD=0x15;TH0=0;TL0=0;TH1=(6553*000)/256;TL1=(6553*000)%6;TR1=1;TR0=1;ET0=1;ET1=1;EA=1;while(1)if(flag==1)flag=0;x=T0count*65536+TH0*256+TL0; for(i=0;i<8;i++)temp[i]=0;i=0;while(x/10)temp[i]=x;x=x/10;i++;temp[i]=x;for(i=0;i<6;i++)dispbuf[i]=temp[i];timecount=0;T0count=0;TH0=0;TL0=0;TR0=1;void t0(void) interrupt 1 using 0 T0count++;void t1(void) interrupt 3 using 0 TH1=(6553*000)/256;TL1=(6553*000)%6;timecount++;if(timecount==250)TR0=0;timecount=0;flag=1;P0=dispcode[dispbuf[dispcount]]; P2=dispbit[dispcount];dispcount++;if(dispcount==8)dispcount=0;51单片机系列教程十二:可预置可逆4位计数器一、实验任务利用AT89S51单片机的P1.0-P1.3接四个发光二极管L1-L4,用二进制形式表示当前计数的数据;用P1.4-P1.7作为预置数据的输入端,接四个拨动开关K1-K4,用P3.6/WR和P3.7/RD端口接两个轻触开关,用来作加计数和减计数开关。
《智能仪器》复习题及答案
《智能仪器》复习参考题及答案一、填空题1.在电子设备的抗干扰设计中,接地技术是一个重要环节,高频电路应选择(多)点接地,低频电路应选择(单)点接地。
2.智能仪器的键盘常采用非编码式键盘结构,有独立式键盘和(矩阵)式键盘,若系统需要4个按键,应采用(独立式)键盘结构。
大于8个时采用矩阵式键盘3.智能仪器的显示器件常用(LED )数码管或液晶显示器,其中(LED数码管)更适合用于电池供电的便携式智能仪器。
4.智能仪器的模拟量输入通道一般由多路模拟开关、(放大器)、滤波器、(采样保持器)和A/D转换器等几个主要部分所组成。
5.对电子设备形成干扰,必须具备三个条件,即( 干扰源)、(传输或耦合的通道)和对干扰敏感的接收电路。
6.干扰侵入智能仪器的耦合方式一般可归纳为:(传导)耦合、公共阻抗耦合、静电耦合和(电磁)耦合。
7.RS-232C标准串行接口总线的电气特性规定,驱动器的输出电平逻辑“0”为(+5 ~+15 )V, 逻辑“1”为(-5 ~-15 )V。
8.智能仪器的随机误差越小,表明测量的(精确)度越高;系统误差越小,表明测量的(准确)度越高。
9.智能仪器的故障自检方式主要有(开机)自检、(周期性)自检和键控自检三种方式。
10.双积分型A/D转换器的技术特点是:转换速度(较慢),抗干扰能力(强)。
11.智能仪器修正系统误差最常用的方法有3种:即利用(误差模型)、(校正数据表)或通过曲线拟合来修正系统误差。
12.为防止从电源系统引入干扰,在智能仪器的供电系统中可设置交流稳压器、(隔离变压器)、(低通滤波器)和高性能直流稳压电源。
13.为减小随机误差对测量结果的影响,软件上常采用(算数平均)滤波法,当系统要求测量速度较高时,可采用(递推平均)滤波法。
14.随着现代科技和智能仪器技术的不断发展,出现了以个人计算机为核心构成的(个人)仪器和(虚拟)仪器等新型智能仪器。
15.智能仪器的开机自检内容通常包括对存储器、(显示器和键盘)、(模拟量I/O通道)、总线和接插件等的检查。
数字频率计
摘要在数字电路中,数字频率计属于时序电路,它主要由具有记忆功能的触发器构成。
在计算机及各种数字仪表中,都得到了广泛的应用。
在CMOS电路系列产品中,数字频率计是用量最大、品种很多的产品,是计算机、通讯设备、音频视频等科研生产领域不可缺少的测量仪器,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此,频率的测量就显得更为重要。
本课题主要选择以集成芯片作为核心器件,设计了一个简易数字频率计,以触发器和计数器为核心,由信号输入、隔直,触发、计数、数据处理和数据显示等功能模块组成。
放大整型电路:对被测信号进行预处理;闸门电路:由555构成的多谢振荡器构成一个秒信号,攫取单位时间内进入计数器的脉冲个数;时基信号:产生一个秒信号;计数器译码电路:计数译码集成在一块芯片上,计单位时间内脉冲个数,把十进制计数器计数结果译成BCD码;显示:把BCD码译码在数码管显示出来。
设计中采用了模块化设计方法,采用适当的放大和整形,提高了测量频率的范围。
关键词:555构成的多谐振荡器、CD4017、CD40110、七段LED显示管第一章简介1.1功能及特点设计的数字频率计可测量正弦波、三角波、方波、尖脉冲及其他各种周期性信号;测量信号幅值范围为0.5~5V;频率范围为1KHZ~10KHZ;测量误差为0.1%;能进一步扩展为可测1MHz的频率计数器。
1.2应用意义随着微电子技术和计算机技术的飞速发展, 各种电子测量仪器在原理、功能、精度及自动化水平等方面都发生了巨大的变化,在电子测量技术中,频率是最基本的参数之一,它与许多电参量和非电量的测量都有着十分密切的关系。
例如,许多传感器就是将一些非电量转换成频率来进行测量的,因此频率的测量就显得更为重要。
数字频率计是用数字来显示被测信号频率的仪器,被测信号可以是正弦波、方波或其它周期性变化的信号。
第二章设计指标和方案论证2.1设计指标1整体功能要求频率计主要用于测量正弦波、三角波、方波和尖脉冲及其他各种周期信号的频率值。
大连理工大学《模拟电子线路实验》实验报告
网络高等教育《模拟电子线路》实验报告学习中心:咸阳远程网络教育学校奥鹏学习中心层次:高中起点专科 .专业:电力系统自动化技术 .年级: 2015 年春季 .学号 161586128155 .学生姓名:惠伟 .实验一常用电子仪器的使用一、实验目的1.了解并掌握模拟电子技术实验箱的主要功能及使用方法。
2.了解并掌握数字万用表的主要功能及使用方法。
3.学习并掌握 TDS1002 型数字存储示波器和信号源的基本操作方法。
二、基本知识4.简述模拟电子技术实验箱布线区的结构及导电机制。
答:模拟电子技术试验箱布线区:用来插接元件和导线,搭建实验电路。
配有 2 只 8 脚集成电路插座和 1 只 14 脚集成电路插座。
结构及导电机制:布线区面板以大焊孔为主,其周围以十字花小孔结构相结合,构成接点的连接形式,每个大焊孔与它周围的小孔都是相通的。
5.试述NEEL-03A型信号源的主要技术特性。
答: NEEL-03A 型信号源的主要技术特性:①输出波形:三角波、正弦波、方波、二脉、四脉、八脉、单次脉冲信号;②输出频率:10Hz~1MHz 连续可调;③幅值调节范围:0~10VP-P 连续可调;④波形衰减:20dB、40dB;⑤带有 6 位数字频率计,既可作为信号源的输出监视仪表,也可以作外侧频率计用。
注意:信号源输出端不能短路。
6.试述使用万用表时应注意的问题。
答:应注意使用万用表进行测量时,应先确定所需测量功能和量程。
确定量程的原则:①若已知被测参数大致范围,所选量程应“大于被测值,且最接近被测值”。
②如果被测参数的范围未知,则先选择所需功能的最大量程测量,根据初测结果逐步把量程下调到最接近于被测值的量程,以便测量出更加准确的数值。
如屏幕显示“1”,表明已超过量程范围,须将量程开关转至相应档位上。
三、预习题1.正弦交流信号的峰-峰值=2×峰值,峰值2.交流信号的周期和频率是什么关系? 答:互为倒数,f=1/T ,T=1/f 。
实验一常用电子仪器的使用
上进行测量的通道。可以在“类型”中选择测量类型。
测量类型有:频率、周期、平均值、峰 -峰值、均方根值、最小值、最大值、
上升时间、下降时间、正频宽、负频宽。
【实验内容】
1. 电阻阻值的测量
(1)实验箱上色环电阻阻值的测量
①根据电阻上的色环,读出两电阻的标称阻值。
②开启万用表电源,并按被测电阻的标称阻值选定所需 Ω 量程。
③万用表的两表笔跨接在电阻上,读数并填表。
④重复上述操作,分别测出两个色环电阻的实际阻值。
(2)元件盒中电阻阻值的测量
①从元件盒中取出被测电阻,根据被测电阻的标称阻值选择所需 Ω量程。
②将电阻的一端用手固定,另一端放在实验台上,再用表笔测量。
③重复上述操作,分别测出 5.1kΩ 、20 kΩ电阻的实际阻值。将以上测得数
①开启万用表电源,并按被测电源的标称阻值选择所需 DCV量程。
②将万用表的黑表笔接地“ GND”端,红表笔接被测端,读数并填表。
③重复上述操作,分别测出 +5V、- 12V 直流电源的实际电压值。
(2)9V、 15V 交流电压的测量
①根据被测电压的标称阻值选择所需 ACV量程。
②将万用表任意一Байду номын сангаас表笔插到被测电压端,另一支表笔接到同组线圈的
1. 阅读常用电子仪器的使用实验原理、实验内容、附录部分内容。
2. 正弦交流信号的峰 -峰值和有效值是什么关系?周期和频率是什
么关系?
【实验仪器设备】
序号
名称
型号
数量
1
模拟电子技术实验箱
EEL-07
1台
2
信号源
NEEL-03A
1台
3
数字式万用表
湖南铁路科技职业技术学院毕业设计模板
湖南铁路科技职业技术学院毕业设计方案课题:单片机的6位数数字频率计设计专业:电气自动化班级: 1502 学生姓名:所属学院:铁道供电与电气学院指导教师:湖南铁路科技职业技术学院教务处监制目录摘要 (1)第一章绪论 (2)1.1 设计背景 (3)1.2 国内外现状 (3)1.3 系统设计的目的及意义 (4)第二章任务和方案论证 (5)2.1 任务要求 (5)2.2 频率测量方法 (5)2.3 测量频率原理分析 (5)第三章系统硬件电路 (9)3.1 主控模块 (9)3.2 LCD液晶显示器简介 (13)3.3 三极管放大电路 (15)3.4 整形模块 (16)3.5 分频模块 (17)第四章系统软件设计 (19)4.1 系统软件总体设计 (19)4.2 显示模块软件设计 (20)第五章系统仿真 (22)5.1 硬件图制作 (22)5.2 软件仿真 (24)5.3 误差分析 (29)结论 (31)参考文献 (32)附录 (34)附录一:系统原理图 (34)附录二:系统PCB (34)摘要频率是指在不变的时间之内,周期性的变化完成的次数。
而频率计就是为了测量频率-这一电子测量里的基本量而生。
本设计里采用自51系列的AT89C52单片机作为频率计的控管中心,用来完成它等候测量的信号的计数,译码,显示以及对分频比的控制。
使用它内部已经存在的定时/计数器实现对待测信号频率的测量。
在整个设计过程中,所设计的频率计采纳外部分频的分频模式来分频,使得的频率测量区间控制在1Hz~1MHz之间,能实现量程主动切换流程。
在这种情况下,采用AT89C52单片机用作系统中控,并且应用单片机内部定时/计数器的门控时间,所以可以比较方便地测量频率。
并且采用LCD显示屏幕来显示待测频率,因此还可以实现自动切换可测量的范围。
本设计基于单片机系统的6位等精度数字频率计,系统使用三极管放大电路对待测信号进行放大,放大后的信号经由施密特触发器74HC14整形,通过芯片74HC390进行100分频,把分频前和分频后的信号送到单片机的计数器端,最后在LCD1602液晶显示测量结果。
RIGOL MSO5000-E系列数字示波器说明书
模拟通道带宽:150 MHz2个模拟通道,1个EXT通道,标配16个数字通道(需选购探头) 实时采样率最高达4 GSa/s最高存储深度达100 Mpts(选件) 波形捕获率高于300,000个波形每秒多达41种波形参数自动测量,更提供全内存硬件测量功能 丰富的串行协议触发和解码功能多达45万帧的硬件实时波形不间断录制和回放功能 独立的搜索、导航按键和事件列表 内置高级的电源分析软件(选件) 支持伯德图环路测试分析功能集7种独立仪器于一身,包括:示波器、逻辑分析仪、频谱分析仪、任意波发生器、数字电压表、频率计和累加器、协议分析仪9英寸多点触控电容屏,256级波形灰度显示,带彩色余辉丰富的接口:USB Host & Device、千兆LAN(LXI)、HDMI、TRIG OUT、 USB-GPIB支持Web Control远程命令控制 独有的在线版本升级功能精致小巧的工业设计,便捷的操作MSO5000-E系列数字示波器是基于RIGOL UltraVision II代技术的高性能数字示波器,采用9英寸多点电容触摸屏,集7种仪器于一身。
具有超高的采样带宽比和存储深度等优异的性能指标,以及精巧便携的外观设计。
支持仪器功能组合和分析功能的软件升级,并可根据不同用户群体的需求量身定制,从而最大程度帮助用户节省预算,使用户享受最优的测试支持与使用体验。
234小身材,大格局创新的仪器外观,双侧减薄设计,提升显示屏大小却依然保持小巧的机身,最大限度方便客户的操作和携带。
9英寸WSVGA(1024×600)多点触控电容屏,256级波形灰度显示Quick action 一键操作HDMI 高清视频输出触摸屏锁定按键搜索导航专用按键手柄接口LAN 接口触发输出接口电源插孔Kensington 安全锁孔5RIGOL示波器中高端系列产品概览6设计特色超性价比七合一 集成示波器在如今的集成设计领域,一款集成度较高的综合示波器已经成为设计工程师必不可少的得力工具。
附件1数字存储示波器技术指标要求
附件1 数字存储示波器技术指标要求1、提供2个模拟通道,200MHz带宽2、2 GSa/s实时采样率3、时基范围:2ns/div-50s/div4、垂直灵敏度:1mv-10v/div5、5.6英寸QVGA(320×240),64k色TFT彩色液晶显示屏6、高达2000wfms/s波形捕获率7、支持1mV/div垂直档位8、边沿、脉宽、斜率、视频、交替触发功能9、支持上升下降沿同时触发,可观看眼图10、丰富的接口配置:标配USB Host,USB Device,RS-232,P/F Out,选配USB-GPIB附件2 任意波形函数信号发生器技术指标要求1.双通道输出,最高输出频率20 MHz,最小输出幅度为2mVpp。
2.双通道任意波特性:最大输出频率5MHz,波形长度4kpts,双通道中每个通道都可单独输出任意波。
3.可以存储和输出示波器采集的波形。
4.垂直分辨率14 bits。
5.内置频率计,频率范围100 mHz-200 MHz。
6.点阵液晶屏显示。
7.调制波形:调幅(AM)、调频(FM)、调相(PM)、频移键控(FSK)、扫频(SWEEP)、突发(BURST)。
8.标配接口:USB Device 接口支持与电脑直接通讯;USB Host支持USB存储驱动器和系统直接升级。
9.负载匹配50Ω—10kΩ以及高阻可调。
附件3 低频函数信号发生器技术指标要求(一)、性能要求:1.由度盘调节和指示频率值。
2.由6位数字频率计指示频率值,并且该频率计能外接单独使用。
3.由3位数字电压表指示输出电压。
4.能产生正弦波、方波、三角波、正向及反向脉冲波、正向及反向锯齿波、TTL和CMOS脉冲波。
5.脉冲波的宽度入锯齿波的斜率可调。
6.有VCF功能。
7.有直流偏置功能。
8.有TTL和CMOS同步输出。
(二)、主要技术参数:1.频率范围:0.1Hz~3MHz2.方波边沿:小于100Ns3.正弦波失真;小于1%(10Hz~100KHz)4.VCF范围:1:1000直流偏置范围:0~±10V连续可调5.输出幅度:大于20Vpp6.输出阻抗:50Ω7.频率计测频范围:10Hz~10MHz8.280×255×100mm(三)、工艺要求:要求内部电路板全部采用波峰焊技术。
6位数字频率计
数字频率计目录一、设计任务书二、设计框图及整体概述三、各单元电路的设计方案及原理说明四、结果分析五、体会和总结附录一、电路设计总图附录二、50MHz变成2Hz的模块VHDL语言源程序附录三、FPGA实验开发板EP2C5T144C8芯片管脚锁定表第页一、设计任务书设计一个6位数字频率计,测量范围为000000~999999;应用QuartusII_7.2以自底向上层次化设计的方式设计电路原理图;应用FPGA实验开发板下载设计文件,实现电路的功能。
二、设计框图及整体概述1.设计框图2、主要芯片及作用T触发器:将2HZ的频率翻转成1HZ。
74192:1个74HC192能实现0~9的计数功能,6个74HC192可以连成0~999999的计数。
74374:是8位的锁存器,可以选用3个来设计24位的锁存器。
74374将计数器输出的测量数据暂时储存起来,并提供给数码管显示。
7448:是BCD—7段译码器,用来显示测量结果。
3、设计原理说明数字频率计是专门用于测量交流信号周期变化速度的一种仪器,频率的定义是每秒时间内交流信号(电压或电流)发生周期性变化的次数。
因此频率计的任务就是要在1秒钟时间内数出交流信号从低电平到高电平变化的次数,并将测得的数据通过数码管显示出来。
50MHz 时钟信号通过模块VHDL 语言源程序变成2Hz 的时钟信号,通过T 触发器将2HZ 翻转成1HZ ,1HZ 经过分频产生3个电平信号,1秒脉宽的高电平提供给计数器工作;1秒脉宽的高电平提供给锁存器工作;0.5秒脉宽的高电平用于计数器清零。
有了这三个电平信号,就可以用6片74192工作来计数000000~999999,74374用来锁存计数器输出的测量数据,再用7448译码器来显示出来。
三、各单元电路的设计方案及原理说明 1. 时钟分频模块VCCclk_50mINPUT clk_1hzOUTPUTclk1clkfenpininstPRNCLRN TQTFFinst2VCC时钟分频原理图原理:50MHz 时钟信号通过模块VHDL 语言源程序变成2Hz 的时钟信号。
基于单片机的六位数显频率计数器电路设计
本科生毕业论文(设计)系(院)物理与电子信息科学系专业电子信息工程论文题目六位数显频率计数器学生姓名指导教师)班级2007级2班学号完成日期:2010 年11月摘要频率计是一种测量信号频率的仪器,在教学、科研、高精度仪器测量、工业控制等领域都有较广泛的应用。
本设计采用单片机AT89S51作为系统控制单元,其结构主要包括单片机控制电路和数码管显示电路,软件编程主要是采用C语言。
具有性能优良,精度高,可靠性好、设计产品成本低,性价比高等特点。
[关键词]单片机频率计频率测量Significan6 Digitl Frequency Counter[Abstract] The frequency meter is a kind of measuring signal frequency instrument, in teaching, scientific research, precision instrument measurement, industrial control domain has the widespread application. This design USES the A T89S51 single-chip microcomputer as the system control unit and its structure is mainly include single-chip microcomputer control circuit and digital tube display circuit, software programming is mainly using C language. Has good performance, high precision, good reliability and design products with low cost, high performance-cost ratio.[Keywords] A T89S52 SCM frequency前言在现代社会中,随着电子工业的发展,能够精确测量各种设备仪器中电路的频率、电压、电流等参数已越来越重要。
六位数显频率计数器
目录一、前言---------------------------------------------------------------------------------------------------------------1.1 课程设计任务----------------------------------------------------------------------------------------------1.2设计目的------------------------------------------------------------------------------------------------二、方案的提出与论证---------------------------------------------------------------------------------------------2.1频率测量的原理和方法---------------------------------------------------------------------------------------三、系统硬件设计---------------------------------------------------------------------------------------------------3.2 A T89C51单片机芯片的功能及其参数-------------------------------------------------------四、系统软件设计---------------------------------------------------------------------------------------------------4.1软件流程图及编写程序 -------------------------------------------------------------------------------------4.2软件减小测量误差的办法 ----------------------------------------------------------------------------------五、实验总结--------------------------------------------------------------------------------------------------------- 附录一:参考文献---------------------------------------------------------------------------------------------------一、前言1.1 课程设计任务本课程设计主要任务是设计一个频率计数器,其主要功能如下:利用AT89C51单片机的T0、T1的定时计数器功能,来完成对输入的信号进行频率计数,计数的频率结果通过6位动态数码管显示出来。
6位数字显示频率计数器电路及51单片机源程序
6位数字显示频率计数器电路及51单片机源程序1.频率计算器功能利用AT89S51单片机的T0、T1的定时计数器功能,来完成对输入的信号进行频率计数,计数的频率结果通过8位动态数码管显示出来。
要求能够对0-250KHZ的信号频率进行准确计数,计数误差不超过±1HZ。
2.电路原理图3.程序设计内容(1).定时/计数器T0和T1的工作方式设置,由图可知,T0是工作在计数状态下,对输入的频率信号进行计数,但对工作在计数状态下的T0,最大计数值为fOSC/24,由于fOSC=12MHz,因此:T0的最大计数频率为250KHz。
对于频率的概念就是在一秒只数脉冲的个数,即为频率值。
所以T1工作在定时状态下,每定时1秒中到,就停止T0的计数,而从T0的计数单元中读取计数的数值,然后进行数据处理。
送到数码管显示出来。
(2).T1工作在定时状态下,最大定时时间为65ms,达不到1秒的定时,所以采用定时50ms,共定时20次,即可完成1秒的定时功能。
5.C语言源程序#include <AT89X51.h>unsigned CHAR code dispbit[]={0xfe,0xfd,0xfb,0xf7,0xef,0xdf,0xbf,0x7f}; unsigned CHAR code dispcode[]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07, 0x7f,0x6f,0x00,0x40};unsigned CHAR dispbuf[8]={0,0,0,0,0,0,10,10};unsigned CHAR temp[8];unsigned CHAR dispcount;unsigned CHAR T0count;unsigned CHAR timecount;bit flag;unsigned long x;void main(void){unsigned CHAR i;TMOD=0x15;TH0=0;TL0=0;TH1=(6553*000)/256;TL1=(6553*000)%6;TR1=1;TR0=1;ET0=1;ET1=1;{if(flag==1){flag=0;x=T0count*65536+TH0*256+TL0; for(i=0;i<8;i++){temp[i]=0;}i=0;while(x/10){temp[i]=x;x=x/10;i++;}temp[i]=x;for(i=0;i<6;i++){dispbuf[i]=temp[i];}timecount=0;TL0=0;TR0=1;}}}void t0(void) interrupt 1 using 0 {T0count++;}void t1(void) interrupt 3 using 0 {TH1=(6553*000)/256;TL1=(6553*000)%6;timecount++;if(timecount==250){TR0=0;timecount=0;flag=1;}P0=dispcode[dispbuf[dispcount]]; P2=dispbit[dispcount];if(dispcount==8){dispcount=0;}51单片机系列教程十二:可预置可逆4位计数器一、实验任务利用AT89S51单片机的P1.0-P1.3接四个发光二极管L1-L4,用二进制形式表示当前计数的数据;用P1.4-P1.7作为预置数据的输入端,接四个拨动开关K1-K4,用P3.6/WR和P3.7/RD端口接两个轻触开关,用来作加计数和减计数开关。
6位十进制频率计实践报告
六位十进制频率计引言在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得更加重要。
数字频率计是数字电路中的一个典型应用,实际的硬件设计用到的器件较多,连线比较复杂,而且会产生比较大的延时,造成测量误差、可靠性差。
随着现场可编程门阵列FPGA的广泛应用,以EDA工具作为开发手段,运用VHDL等硬件描述语言语言,将使整个系统大大简化,提高了系统的整体性能和可靠性。
一、课程设计题目和设计要求简易频率计要求:设计一个简易的6位十进制频率计。
功能分析:可以测量的频率范围:0~999999Hz,并在数码管显示器频率的值二、设计思路分析与方案选择1、频率计设计原理在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此,频率的测量就显得更为重要。
测量频率的方法有多种,其中电子计数器测量频率具有精度高、使用方便、测量迅速,以及便于实现测量过程自动化等优点,是频率测量的重要手段之一。
数字式频率计的测量原理有两类:一是直接测频法,即在一定闸门时间内测量被测信号的脉冲个数;二是间接测频法即测周期法,如周期测频法。
直接测频法适用于高频信号的频率测量,通常采用计数器、数据锁存器及控制电路实现,并通过改变计数器阀门的时间长短在达到不同的测量精度;间接测频法适用于低频信号的频率测量。
本设计中使用的就是直接测频法,即用计数器在计算1S内输入信号周期的个数,其测频范围为1Hz~999999Hz。
2、频率计设计思路频率测量的基本原理是计算每秒钟内待测信号的脉冲个数。
这就要求测频控制信号发生器TESTCTL的计数使能信号TSTEN能产生一个1秒脉宽的周期信号,并对频率计的每一计数器CNT10的使能端ENA进行同步控制。
当TSTEN 为高电平时,允许计数;为低电平时停止计数,并保持其计数结果。
在停止计数期间,首先需要一个锁存信号LOAD的上跳沿将计数器在前1 秒种的计数值锁存进24位锁存器REG24B中,并由外部的7段译码器译出,并稳定显示。
频率计的使用教程
频率计的使用教程频率计是一种常见的电子测量仪器,它主要用来测量电波的频率。
在电子领域中,频率是一个关键的参数,对于各种电子设备和电路的设计、调试以及故障排除都起到非常重要的作用。
本文将介绍频率计的基本原理和使用方法,以帮助读者更好地使用这一仪器。
1. 频率计的原理频率计基于时间测量的原理工作。
它通过计时器测量电波的一个周期所需要的时间,并将其转化为频率。
主要有两种类型的频率计:直接计数频率计和间接计数频率计。
直接计数频率计通过计算固定时间内电波周期数的方式来测量频率。
它具有精确度高的优点,但需要较长的测量时间。
间接计数频率计则通过测量时间基准中的计数周期数来估算电波的频率。
它具有测量速度快的优点,但精确度相对较低。
2. 频率计的使用步骤使用频率计之前,我们需要确保仪器正常工作,并将其连接到要测量的电路或设备上。
第一步是设置测量范围,一般频率计会提供多个测量范围可供选择。
我们需要根据待测电波的频率范围选择合适的测量范围,以保证测量结果的准确性。
第二步是调整频率计的灵敏度,也称为量程档位。
灵敏度设置过高会导致测量结果不准确,而设置过低则可能无法检测到待测信号。
通常,我们可以根据实际情况进行适当的灵敏度调整。
第三步是连接待测信号源到频率计的输入端。
我们需要确保信号源的输出与频率计的输入匹配,并使用合适的连接线杜绝信号干扰或衰减。
第四步是启动频率计,并等待一段时间以达到稳定状态。
这个时间可以根据仪器的规格和信号源的稳定性来确定。
第五步是开始测量,根据仪器的操作界面,可以选择不同的测量模式进行频率测量。
一般来说,频率计会提供多种显示方式,如数字显示和图形显示等。
3. 注意事项在使用频率计时,我们需要注意以下几点:首先,要保持仪器的环境干燥、清洁,并避免剧烈震动或碰撞,以确保仪器正常工作。
其次,需要根据仪器的规格和测量要求选择合适的频率计。
不同的频率计有不同的测量范围、精确度和测量速度。
此外,还需要注意待测信号的特性,如频率范围、幅值、稳定性等。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
数字频率计目录一、设计任务书二、设计框图及整体概述三、各单元电路的设计方案及原理说明四、结果分析五、体会和总结附录一、电路设计总图附录二、50MHz变成2Hz的模块VHDL语言源程序附录三、FPGA实验开发板EP2C5T144C8芯片管脚锁定表第页一、设计任务书设计一个6位数字频率计,测量范围为000000~999999;应用QuartusII_7.2以自底向上层次化设计的方式设计电路原理图;应用FPGA实验开发板下载设计文件,实现电路的功能。
二、设计框图及整体概述1.设计框图2、主要芯片及作用T触发器:将2HZ的频率翻转成1HZ。
74192:1个74HC192能实现0~9的计数功能,6个74HC192可以连成0~999999的计数。
74374:是8位的锁存器,可以选用3个来设计24位的锁存器。
74374将计数器输出的测量数据暂时储存起来,并提供给数码管显示。
7448:是BCD—7段译码器,用来显示测量结果。
3、设计原理说明数字频率计是专门用于测量交流信号周期变化速度的一种仪器,频率的定义是每秒时间内交流信号(电压或电流)发生周期性变化的次数。
因此频率计的任务就是要在1秒钟时间内数出交流信号从低电平到高电平变化的次数,并将测得的数据通过数码管显示出来。
50MHz 时钟信号通过模块VHDL 语言源程序变成2Hz 的时钟信号,通过T 触发器将2HZ 翻转成1HZ ,1HZ 经过分频产生3个电平信号,1秒脉宽的高电平提供给计数器工作;1秒脉宽的高电平提供给锁存器工作;0.5秒脉宽的高电平用于计数器清零。
有了这三个电平信号,就可以用6片74192工作来计数000000~999999,74374用来锁存计数器输出的测量数据,再用7448译码器来显示出来。
三、各单元电路的设计方案及原理说明 1. 时钟分频模块VCCclk_50mINPUT clk_1hzOUTPUTclk1clkfenpininstPRNCLRN TQTFFinst2VCC时钟分频原理图原理:50MHz 时钟信号通过模块VHDL 语言源程序变成2Hz 的时钟信号。
将T 触发器的T 端接高电平,T 触发器则转化为T ’触发器,2HZ 的脉冲通过它变为1HZ 。
2. 时序产生模块VCCCLK_1HZINPUT EN_1SOUTPUTCLKOUTPUTCLROUTPUTPRNCLRN TQTFFinstNOTinst1NOTinst2VCCAND2inst4时序产生原理图原理:脉冲通过T ’触发器再次翻转后又变为0.5HZ , EN 就是触发器Q 输出的脉冲,得到1秒脉宽的高电平,提供给计数模块工作; CLK 是触发器Q 输出的脉冲经过非门之后的脉冲,也得到1秒脉宽的高电平,提供给锁存模块工作; CLR 是经过非门之后的脉冲和1HZ 的脉冲经过非门之后再经过与门之后输出的脉冲 ,所以当输入的1HZ 脉冲为低电平和CLK 的脉冲为高电平时为高电平 ,得到0.5秒脉宽的高电平,用于计数器清零。
1Hz 的时钟经过分频产生以下3个电平信号:1秒脉宽的高电平,提供给计数模块工作;1秒脉宽的高电平,提供给锁存模块工作;0.5秒脉宽的高电平,用于计数器清零。
3.6位十进制计数模块VCC clk INPUT VCCenINPUT VCCclrINPUT pin_name5OUTPUT Q[3..0]OUTPUTCOUNTERD C A B CLRUP DN LDN QB BONCON QA QC QD 74192instVCCGNDAND2inst7Q[0]Q[1]Q[2]Q[3]6位十进制计数原理图原理:将74192的UP 端接脉冲(该脉冲由EN 和外来脉冲CLK 通过与门得到,当EN 和CLK 脉冲都为高电平时得到),DN 端接高电平为加法器,将CON 端接下一个74192的UP 端,进行进位。
1个74HC192能实现0~9的计数功能,取 6个74HC192可以连成0~999999的计数。
工作时,当外来脉冲停止或EN 为0,CLK 为1,CLR 为1,计数器停止计数,同时CLR 工作,数据清零。
4. 24位数据锁存模块VCCclkINPUT VCC D0[3..0]INPUT VCCD1[3..0]INPUT VCC D2[3..0]INPUT VCCD3[3..0]INPUT VCC D4[3..0]INPUT VCCD5[3..0]INPUT Q0[3..0]OUTPUTQ1[3..0]OUTPUTQ2[3..0]OUTPUT Q3[3..0]OUTPUTQ4[3..0]OUTPUT Q5[3..0]OUTPUTOCTAL D-FFD1D2D3D4D6D5OE N D8D7CLKQ2Q3Q5Q4Q6Q7Q8Q174374instOCTAL D-FFD1D2D3D4D6D5OE N D8D7CLKQ2Q3Q5Q4Q6Q7Q8Q174374inst1OCTAL D-FFD1D2D3D4D6D5OE N D8D7CLKQ2Q3Q5Q4Q6Q7Q8Q174374inst2GNDQ0[1]Q0[0]Q0[2]Q0[3]Q1[0]Q1[1]Q1[2]Q1[3]D0[0]D0[1]D0[2]D0[3]D1[0]D1[1]D1[2]D1[3]Q2[0]Q2[1]Q2[2]Q2[3]Q3[0]Q3[1]Q3[2]Q3[3]D2[0]D2[1]D2[2]D2[3]D3[0]D3[1]D3[2]D3[3]Q4[0]Q4[1]Q4[2]Q4[3]Q5[0]Q5[1]Q5[2]Q5[3]D4[0]D4[1]D4[2]D4[3]D5[0]D5[1]D5[3]D5[2]24位数据锁存原理图原理:74374是三态反相八D 锁存器,有一个被测信号输入端CLK ,OEN 是输入使能端,低电平有效,所以要接地。
由于要锁存24位,则要用3片74374锁存器,就是将6片74192输出的测量数据都锁存起来,则将74374的八个输入端分成2组。
当CLK为高电平时锁存器工作,将数据锁定,将计数器输出的测量数据暂存起来,并提供给数码管显示。
5. 数码管译码模块VCCQ0[3..0]INPUT VCCQ1[3..0]INPUT Q1A OUTPUT Q1B OUTPUT Q1C OUTPUT Q1D OUTPUT Q1E OUTPUT Q1F OUTPUT Q1GOUTPUTQ2A OUTPUT Q2B OUTPUT Q2C OUTPUT Q2D OUTPUT Q2F OUTPUT Q2E OUTPUT Q2GOUTPUTBCD TO 7SE GA C DB RBIN BINLTN OC OE OD OF OG OB OA RBON7448instBCD TO 7SE GA C DB RBIN BINLTN OC OE OD OF OG OB OA RBON7448inst1VCCQ0[0]Q0[1]Q0[2]Q0[3]Q1[0]Q1[1]Q1[3]Q1[2]数码管译码原理图原理:7448是共阴数码管,高电平驱动。
LTN 是测试灯。
RBIN,BIN是消影,低电平有效所以都接高电平。
频率器是要用6个共阴数码管来显示的,但开发的FPGA 实验板上有4个数码管已经配置好CD4511译码器,剩下的两个是7位二进制直接驱动的,因此只需要将锁存器输出的4位二进制数进行译码,就选用了2个7448译码器,四、结果分析各个模块分别编译成功后,新建一个文件夹,将各模块有用的文件加入新建的文件夹中。
然后重新建立工程,画出电路设计总图,编译成功后,将原理图中各个引脚与FPGA 实验开发板EP2C5T144C8芯片管脚锁定表中相符编写好,再编译一次成功后下载到实验开发板进行测试。
测试时选择不同的频率,使数码管从0~999999显示。
如果数码管显示位置与显示器位置不同,则问题可能是各模块之间连接出错,或芯片管教编错。
若显示器显示都为零,则可能是时钟分频模块或时序模块出错,或是某处引脚没编号。
五、体会和总结一周的数电课程设计课,让我学会了很多,使我更加了解了QuartusII_7.2软件的功能及使用方法,同时也加深了自己对数电专业知识方面的认识。
刚开始上课时,老师跟我们说了这门课的要求是设计一个6位数字频率计,我听了以后觉得很难,感觉无从下手。
后来照着老师的要求,先画一个设计草图,考虑好用那些芯片,再分别用QuartusII_7.2软件以自底向上层次化设计的方式设计电路的每个模块,各模块编译仿真成功后,再把每个模块连接起来,画出电路总原理图。
在老师的带领下,我经过反复的练习,终于把6位数字频率计设计好了。
通过这一周的学习,我认识到要设计一种东西,不仅需要掌握一定的知识,耐心和细心也是必不可少的。
这次课程设计,同时也加强了我们动手、思考和解决问题的能力。
附录一:电路设计总图PI N_45VCC si g nal_i n INPUTPI N_17VCCCLK50m_i n INPUTPI N_103AA0OUTPUTPI N_104AA1OUTPUTPI N_112AA2OUTPUTPI N_113AA3OUTPUTPI N_114AA4OUTPUTPI N_115AA5OUTPUTPI N_118AA6OUTPUTPI N_119BB0OUTPUTPI N_120BB1OUTPUTPI N_121BB2OUTPUTPI N_122BB3OUTPUTPI N_125BB4OUTPUTPI N_126BB5OUTPUTPI N_129BB6OUTPUTPI N_135PI N_134PI N_133PI N_132C[3..0]OUTPUTPI N_3PI N_144PI N_143PI N_142E[3..0]OUTPUTPI N_9PI N_8PI N_7PI N_4F[3..0]OUTPUTPI N_141PI N_139PI N_137PI N_136D[3..0]OUTPUTcl k_50m cl k_1hzfenpi n1_380i n stCLK_1HZ EN_1SCLKCLRshi x u_380i n st1CLKENCLRD0[3..0]D1[3..0]D2[3..0]D3[3..0]D4[3..0]D5[3..0]j i s hu_380i n st2Q0[3..0]Q1[3..0]AA0AA1AA2AA3AA4AA5AA6BB0BB1BB2BB3BB4BB5BB6yi m a_380i n st4D0[3..0]D1[3..0]D2[3..0]D3[3..0]D4[3..0]D5[3..0]cl kQ0[3..0]Q1[3..0]Q2[3..0]Q3[3..0]Q4[3..0]Q5[3..0]suocun_380i n st3C[3..0]D[3..0]E[3..0]F[3..0]AA0AA1AA2AA3AA4AA5AA6BB0BB1BB2BB3BB4BB5BB6附录二、50MHz变成2Hz的模块VHDL语言源程序library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity clk50Mto2Hz isport (clk50M : in std_logic;clk2Hz: out std_logic);end clk50Mto2Hz;architecture aa of clk50Mto2Hz issignal count_5000: integer range 0 to 4999;signal count: integer range 0 to 2500;signal clk_10Khz,clk_2Hz :std_logic;beginprocess(clk50M)beginif clk50M'event and clk50M='1' thenif count_5000=4999 thencount_5000<=0;clk_10Khz<='0';elsecount_5000<=count_5000+1;clk_10Khz<='1';end if;end if;end process;process(clk_10Khz)beginif clk_10Khz'event and clk_10Khz='1' thenif count=2499 thencount<=0;clk_2Hz<=not clk_2Hz;elsecount<=count+1;end if;end if;end process;clk2Hz<=clk_2Hz;end aa;附录三、FPGA实验开发板EP2C5T144C8芯片管脚锁定表信号名符号FPGA引脚号信号名符号FPGA引脚号信号名符号FPGA引脚号数码管7SLED A AA0 PIN103电平开关SWSW0 PIN70J4扩展口J4-3 PIN 24 AA1 PIN104 SW1 PIN69 J4-4 PIN25 AA2 PIN 112 SW2 PIN 67 J4-5 PIN28 AA3 PIN113 SW3 PIN65 J4-6 PIN30 AA4 PIN 114 SW4 PIN64 J4-7 PIN 31 AA5 PIN 115 SW5 PIN 63 J4-8 PIN 32 AA6 PIN118 SW6 PIN21 J4-9 PIN 40数码管7SLED B BB0 PIN 119 SW7 PIN22 J4-10 PIN 41 BB1 PIN 120LED发光二极管LEDG0 PIN86 J4-11 PIN42 BB2 PIN 121 LEDG1 PIN79 J4-12 PIN 43 BB3 PIN 122 LEDG2 PIN 76 J4-13 PIN44 BB4 PIN125 LEDG3 PIN75 J4-14 PIN 45 BB5 PIN126 LEDR0 PIN74 J4-15 PIN47 BB6 PIN 129 LEDR1 PIN73 J4-16 PIN48数码管7SLED C CC0 PIN132 LEDR2 PIN72 J4-17 PIN51 CC1 PIN133 LEDR3 PIN71 J4-18 PIN52 CC2 PIN134高速D/A转换器信号DACCLK PIN101 J4-19 PIN53 CC3 PIN135 DACD7 PIN100 J4-20 PIN 55数码管7SLED D DD0 PIN136 DACD6 PIN99 J4-21 PIN 57 DD1 PIN137 DACD5 PIN97 J4-22 PIN58 DD2 PIN 139 DACD4 PIN 96 J4-23 PIN59 DD3 PIN141 DACD3 PIN94 J4-24 PIN60数码管7SLED E EE0 PIN142 DACD2 PIN 93 8Hz时钟CLK1 PIN89 EE1 PIN 143 DACD1 PIN 92 可选时钟CLKIN PIN88 EE2 PIN144 DACD0 PIN8750M时钟CLK0 PIN 17 EE3 PIN3按键KEY0 PIN91数码管7SLED F FF0 PIN 4 KEY1 PIN 90 FF1 PIN7FF2 PIN8FF3 PIN 9。