数字钟设计(带仿真和连接图)
基于C51单片机的数字时钟课程设计(C语言,带闹钟).
单片机技术课程设计
数字电子钟
学院:
班级:
姓名:
学号:
教师:
摘要
电子钟在生活中应用非常广泛,而一种简单方便的数字电子钟则更能受到人们的欢迎。所以设计一个简易数字电子钟很有必要。本电子钟采用AT89C52单片机为核心,使用12MHz 晶振与单片机AT89C52 相连接,通过软件编程的方法实现以24小时为一个周期,同时8位7段LED数码管(两个四位一体数码管)显示小时、分钟和秒的要求,并在计时过程中具有定时功能,当时间到达提前定好的时间进行蜂鸣报时。该电子钟设有四个按键KEY1、KEY2、KEY3、KEY4和KEY5键,进行相应的操作就可实现校时、定时、复位功能。具有时间显示、整点报时、校正等功能。走时准确、显示直观、运行稳定等优点。具有极高的推广应用价值。
关键词:
电子钟 AT89C52 硬件设计软件设计
目录
一、数字电子钟设计任务、功能要求说明及方案介绍 (4)
1.1 设计课题设计任务 (4)
1.2 设计课题的功能要求说明 (4)
1.3 设计课的设计总体方案介绍及工作原理说明 (4)
二、设计课题的硬件系统的设计 (5)
2.1硬件系统各模块功能简要介绍 (5)
2.1.1 AT89C52简介 (5)
2.1.2 按键电路 (6)
三、设计课题的软件系统的设计 (6)
3.1 使用单片机资源的情况 (6)
3.2 软件系统个模块功能简要介绍 (7)
3.3 软件系统程序流程框图 (7)
3.4 软件系统程序清单 (7)
四、设计课题的设计结论、仿真结果、误差分析 (9)
4.1 设计结论及使用说明 (9)
4.2 仿真结果 (10)
数字电子钟的设计、仿真与制作
大学生课外创新实验竞赛
暨第五届实验竞赛月总结报告
项目名称:数字电子钟的设计、仿真与制作
参赛院系:电气工程学院电气工程基础实验中心
项目组成员: **涛
项目负责人电话:
日期: 2013.4.26
目录
项目简介:该数字时钟以STC89C51单片机为核心控制系统,51单片机主要通过控制高低电平来实现数据的传输和读取,操作简单。时钟芯片采用DS12C887,该时钟芯片内部自带振荡器,走时精准,而且内部自带备用电池,断电后数据不会丢失,还会自动走时长达十年,避免了开机就得重新校时的麻烦。时间的显示通过1602液晶显示屏显示,为并行数据传输。该系统除了具有基本的时间显示外,还有校时,闹钟,温度,计时,倒计时,录音功能,语音报时。其中时间显示包括年月日,时分秒,星期。温度的测量采用DS18B20,小巧精致,精度可达0.625。计时和倒计时分别用单片机的定时器0,和定时器1。闹钟为时钟芯片内部控制,通过单片机的外部中断来控制铃声的放出。录音采用ISD4004语音芯片,为串行数据传输,录音时间可达8分钟。语音报时则是事先在语音芯片录入声音,再通过单片机对声音进行剪接,使之组成所需的一句话。仿真工具为proteus,仿真效果与实际接近。PCB的绘制采用 Altium Designer 6.9,功能强大。该数字时钟功能多样,操作仅用四个按键实现,而且显示界面简洁,利于实现人机互动。
关键字:单片机时钟芯片温度录音语音报时
项目创新点:第一:该项目采用了DS12C887时钟芯片,走时精准,而且断电后不丢失数据,内部有备用电源,可在断电后自行走10年,所以在任何时候开机时,
简易数字钟设计(已仿真)
简易数字钟设计
摘 要 本文针对简易数字钟的设计要求,提出了两种整体设计方案,在比较两个方案的优缺点后,选择了其中较优的一个方案,进行由上而下层次化的设计,先定义和规定各个模块的结构,再对模块内部进行详细设计。详细设计的时候又根据可采用的芯片,分析各芯片是否适合本次设计,选择较合适的芯片进行设计,
最后将设计好的模块组合调试,并最终在EWB 下仿真通过。
关键词 数字钟,EWB ,74LS160,总线,三态门,子电路
一、引言:所谓数字钟,是指利用电子电路构成的计时器。相对机械钟而言,数字钟能达到准确计时,并显示小时、分、秒,同时能对该钟进行调整。在此基础上,还能够实现整点报时,定时报闹等功能。
设计过程采用系统设计的方法,先分析任务,得到系统要求,然后进行总体设计,划分子系统,然后进行详细设计,决定各个功能子系统中的内部电路,最后进行测试。
二、任务分析:能按时钟功能进行小时、分钟、秒计时,并显示时间及调整时间,能整点报时,定点报时,使用4个数码管,能切换显示。
总体设计
本阶段的任务是根据任务要求进行模块划分,提出方案,并进行比较分析,最终找到较优的方案。
方案一、采用异步电路,数据选择器
将时钟信号输给秒模块,秒模块的进位输给分模块,分模块进位输入给时模块,切换的时候使用2选1数据选择器进行切换,电路框图如下:
该方案的优点是模块内部简单,基本不需要额外的电路,但缺点也很明显,该方案结构不清晰,模块间关系混乱,模块外还需使用较多门电路,不利于功能扩充,且使用了异步电路,计数在59的时候,高一级马上进位,故本次设计不采用此方案。
多功能数字电子钟设计
多功能数字电子钟设计
-多功能数字电子钟
多功能数字钟的设计与仿真
一.设计任务与要求
设计任务:
设计一个多功能数字钟。
要求:
1.有“时”、“分”、“秒”(23小时59分59秒)显示且有校时功能。(设计秒脉冲发生器)
2.有整点报时功能。(选:上下午、日期、闹钟等)
3. 用中规模、小规模集成电路及模拟器件实现。
4. 供电方式: 5V直流电源
二.设计目的、方案及原理
1.设计目的
(1)熟悉集成电路的引脚安排。
(2)掌握各芯片的逻辑功能及使用方法。
(3)熟悉面包板结构及其接线方法。
(4)熟悉多功能数字钟的构成及工作原理。
(5)熟悉多功能数字钟的设计与制作
2.设计思路
(1)设计数字钟的时、分、秒电路。
(2)设计可预置时间的校时电路。
(3)设计整点报时电路。
3.设计过程
3.1.总体设计方案及其工作原理为:
数字钟原理框图入图1所示,电路通常包含一下几个部分:振荡器、星期、小时、分钟、秒计数器、校时电路、报时电路。数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路,同时标准的1HZ时间信号务必做到准确稳固。通常使用石英晶体振荡器电路构成数字钟,但也能够用555定时器构成。
图1 系统框图
数字钟计时的标准信号应该是频率相当稳固的1HZ秒脉冲,因此要设置标准时间源。数字钟计时周期是24小时,因此务必设置24计数器,秒、分、时由数码管显示。
为使数字钟走时与标准时间一致,校时电路是必不可少的。设计中使用开关操纵校时电路“时”“分”“秒”计数器进行校时操作。
VHDL数字时钟设计
VHDL数字时钟设计
序⾔
这个是我在做FPGA界的HelloWorld——数字钟设计时随⼿写下的,再现了数字钟设计的过程
⽬标分析
1. 时钟具有时分秒的显⽰,需6个数码管。为了减⼩功耗采⽤扫描法显⽰
2. 按键设置时间,需要对按键进⾏消抖
3. 时分秒即为2个60进制计数器,⼀个24进制计数器。
模块设计
综上所述,我采⽤模块化设计⽅法进⾏设计,绘制框图如下。
1. 时钟分频产⽣各个模块所需频率时钟。
2. 按键处理模块对按键信号进⾏消抖、变长脉冲为短脉冲等处理。
3. 时间控制模块产⽣时间信号或对时间进⾏设置。
4. 数码管驱动模块负责对时间信号BCD码译码为数码管的段码并且扫描输出到数码管。
下⾯对各个模块分别详细叙述
时钟分频模块
我打算把时钟分频模块做成“数控N分频器”,通过给分频器传⼊数值N来对时钟信号进⾏N分频。得到的信号频率为原时钟信号的频率/N,占空⽐为1/N。
稍微考虑下其他模块所需时钟:按键处理模块100Hz ,时间控制模块1Hz,数码管驱动50Hz。⽽输⼊时钟为33.8688MHz。
我不想传⼊的N数值过⼤,我打算先对时钟进⾏两次:第⼀次调⽤时钟分频模块得到1Mhz,第⼆次得到1Khz。这样N的位数为10可以满⾜需求。
代码如下
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.STD_LOGIC_UNSIGNED.all;
entity ClkDiv is
port(
clk_i:IN STD_LOGIC;
N_i: IN STD_LOGIC_VECTOR(9 DOWNTO 0);
Multisim仿真—数字钟的设计
数字钟的设计一、设计任务
数字钟设计
二、设计条件
基于Multisim 10 仿真软件的调试
三、设计功能要求
1、时间以12小时为一个周期;
2、显示时、分、秒。
四、电路中允许使用的主要元器件
555:时钟电路
74LS161:计数器
4511:数码管驱动7400:与非门7404:非门
SEVEN_SEG_COM_K:共阴数码管
五、提供的参考电路:
1、时钟秒位的参考电路
2、时、分、秒功能仿真参考电路
说明:
后期将为同学们提供在面包板上插接实际电路的机会,但实验室只能提供555、74LS161、4511、7400、7404、SEVEN_SEG_COM这些元器件,所以必须按上述元器件设计完整的时、分、秒电路。
3.1 时钟秒位的参考电路(10进制和6进制计数器及数码管显示)
电路说明:
先画以555芯片为中心的时钟电路部分,然后再画74LS161、4511、数码管为中心的显示电路部分。
①按照电路图选择放置元器件:点击
中的放置电阻、电容和电感;点击放置电源和地。
②点击中的放置LM555CM时钟元件。
③点击中的放置共
阴极数码管。
④点击中的放置计数器74LS161N、与非门7400N(一个芯片中含4个与非门资源)、非门7404N (一个芯片中含6个非门资源)。
⑤点击中的放置数
码管驱动4511BD_5V。
⑥点击元器件的管脚按照电路图进行连线。
⑦点击仿真按钮进行仿真。
3.2 时、分、秒功能仿真参考电路
电路说明:
先画以555芯片为中心的时钟电路部分,然后再画秒位时钟电路、分位时钟电路、小时位时钟电路。
①按照电路图选择放置元器件:点击
数字钟的设计方法及步骤
四川机电职业技术学院
数字电子课程设计说明书题目:数字电子钟
院系名称:电子电气工程系专业班级:09电气6班
学生姓名:姚瑶学号:0907041114
指导教师:刘惠兰、刘廷敏、徐贵仁
教师职称:
2010年12月15日
摘要
数字电子钟是采用数字电路实现对“时”、“分”、“秒”数字显示的设计装置。由于数字集成电路的发展和石英晶体振荡器的广泛应用,使得数字钟的精度、稳定度远远超过了老式机械钟表。在数字显示方面,目前还可以直接采用CMOS-LED 光电组合器件,构成模块式石英晶体数字钟。
为了帮助同学们了解数字钟的组成,运用已学过的数字电路基本知识,掌握设计简单数字系统的方法,本课题介绍数字钟的设计制作方法。
关键词:数字集成电路计数器七段数码显示校时电路
1设计题目数字电子钟
A 纸,页边距为2.5cm
数字电子钟是采用数字电路实现对“时”、“分”、“秒”数字显示的设计装置。由于数字集成电路的发展和石英晶体振荡器的广泛应用,使得数字钟的精度、稳定度远远超过了老式机械钟表。在数字显示方面,目前还可以直接采用CMOS-LED 光电组合器件,构成模块式石英晶体数字钟。
为了帮助同学们了解数字钟的组成,运用已学过的数字电路基本知识,掌握设计简单数字系统的方法,本课题介绍数字钟的设计制作方法。
1.1数字钟的组成和工作原理
一个简单的数字钟,主要由六部分组成。整机电路方框图如图1-1所示。
图1-1数字钟整机方框图(宋体,5号)
1.1.1石英晶体振荡器模块
振荡器主要用来产生时间标准信号。因为数字钟的精度,主要取决于时间标准信号的频率及其稳定度,所以要产生稳定的时标信号,一般是采用石英晶体多谐振荡器,从数字钟的精度考虑,晶振频率愈高,钏表的计时准确度就愈高。但这会使振荡器的耗电量增大,分频器的级数也要增多。所以在确定频率时应考虑两方面的因素,然后再选定石英晶体的型号。
多功能数字电子钟_VHDL
―― *****************Time_com 模块 ********* library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity time_com is port(hh,mh,sh,hl,ml,k :in std_logic_vector(3 downto 0);
显示:采用 8 个 LED数码管分别显示时、分、秒并且他们之间 用“—”隔开。
闹钟: 闹钟定时时间到,蜂鸣器发出周期为 1s 的滴、滴声, 持续时间为 10 秒;闹钟定时显示。
闹钟定时设置: 在闹钟显示状态下,按下“ set 键”,进入“小 时”校时状态,再次按下“ set 键”,进入“分”校时状态,继续按 下“set 键”,进入“秒”校时状态,第四次按下“ set 键”又回复到 闹钟显示状态。
current_state<=next_state; end if; end process; process(current_state,k,set) begin case current_state is
when s0=> flashh<='0';flashm<='0';flashs<='0';cht<='0';cmt<='0';cst<='0'; chs<='0';cms<='0';css<='0';sel_show<='1'; if(mode='0')then next_state<=s4; elsif(k='1'and set='0' ) then
多功能数字钟的设计与实现项目设计方案
多功能数字钟的设计与实
现项目设计方案
1 数字钟设计功能及方案论证
基本功能:
1)设计一个数字钟。要求用六位数码管显示时间,格式为00:00:00。
2)具有60进制和24进制(或12进制)计数功能,秒、分为60进制计数,时为24进制(或12进制)计数。
3)有译码、七段数码显示功能,能显示时、分、秒计时的结果。
扩展功能:
1)设计提供连续触发脉冲的脉冲信号发生器,
2)具有校时单元、闹钟单元和整点报时单元。
方案论证:
方案一:用逻辑门电路直接搭接数字钟电路,此方案所需元件众多,
频率稳定性差,电路复杂,所以不采用此方案。
方案二:用计数器74LS90以及译码器74LS48等芯片组成电路,用555振荡器及分频器产生1Hz信号供计数器技术,较之第一种方案容易实现。
方案三:用单片机实现计数及显示等,这种方案简单明了,电路简单
只需要写好程序就可以,容易达到任务要求。但单片机对个人能力要求较高,鉴于还没有学习单片机方面知识,所以不使用第三种方案。
综上,决定采用第二种方案。
2 设计原理及框图
1)计数器电路:计数器电路由秒计数器、分计数器及时计数器构成。根据设计要求,其中,时计数器为24进制,分计数器及秒计数器为60进制计数器。
2)译码显示电路:由74LS48芯片组成的译码电路将计数器输出的8421BCD码转化为数码管所需的逻辑状态,并为保证数码管正常工作提供足够工作电流。
3)整点报时电路:在数字钟电路出现整点时,数字钟会自动报时,其工作方式是发出连续的音频声波,复杂一些的可以是实时语音
或音乐提示。
4)定时闹钟电路:要求可以设定一个指定的时间,是数字钟在指定时刻发出信号,使蜂鸣器“闹时”。
基于C51单片机的数字时钟课程设计(C语言带闹钟)
单片机技术课程设计
数字电子钟
学院:
班级:
姓名:
学号:
教师:
摘要
电子钟在生活中应用非常广泛,而一种简单方便的数字电子钟则更能受到人们的欢迎。所以设计一个简易数字电子钟很有必要。本电子钟采用AT89C52单片机为核心,使用12MHz 晶振与单片机AT89C52 相连接,通过软件编程的方法实现以24小时为一个周期,同时8位7段LED数码管(两个四位一体数码管)显示小时、分钟和秒的要求,并在计时过程中具有定时功能,当时间到达提前定好的时间进行蜂鸣报时。该电子钟设有四个按键KEY1、KEY2、KEY3、KEY4和KEY5键,进行相应的操作就可实现校时、定时、复位功能。具有时间显示、整点报时、校正等功能。走时准确、显示直观、运行稳定等优点。具有极高的推广应用价值。
关键词:
电子钟 AT89C52 硬件设计软件设计
目录
NO TABLE OF CONTENTS ENTRIES FOUND.
一、数字电子钟设计任务、功能要求说明及方案介绍
1.1 设计课题设计任务
设计一个具有特定功能的电子钟。具有时间显示,并有时间设定,时间调整功能。
1.2 设计课题的功能要求说明
设计一个具有特定功能的电子钟。该电子钟上电或按键复位后能自动显示系统提示符“d.1004-22”,进入时钟准备状态;第一次按电子钟启动/调整键,电子钟从12时59分0秒开始运行,进入时钟运行状态;按电子钟S5键,则电子钟进入时钟调整状态,此时可利用各调整键调整时间,调整结束后可按S5键再次进入时钟运行状态。
1.3 设计课的设计总体方案介绍及工作原理说明
基于Multisim的数字时钟仿真设计
基于Multisim的数字时钟仿真设计
Multisim是由National Instruments公司推出的一款仿真电路设计软件,其功能强大、界面友好,能帮助工程师更好地模拟电子电路。本文介绍了在Multisim中进行数字时钟仿真设计的基本步骤。
在制作数字时钟之前,首先需要进行电路设计,具体步骤如下:
1、确定时钟的频率。为了使Multisim能正常工作,必须确定正确的输入频率。
2、在Multisim中设置时钟电路。在Multisim中,可以选择运放IC作为时钟电路的组件,并在模拟真实电路中调节不同的参数,比如时钟信号的频率和阻抗。
4、将时钟信号输出到外部仪器。当仿真结果符合预期时,就可以将时钟信号输出到仪器中,进行更进一步的测试。
以上就是在Multisim中进行数字时钟仿真设计的基本流程,它能够帮助工程师更好地掌握设计思想,让电路设计更加容易和准确。
多功能数字钟电路设计指导书及仿真图,绝对能用
课题一数字电子钟逻辑电路设计
一、简述
数字电子钟是一种用数字显示秒、分、时、日的计时装置,与传统的机械钟相比,它具有走时准确,显示直观、无机械传动装置等优点,因而得到了广泛的应用。小到人们日常生活中的电子手表,大到车站、码头、机场等公共场所的大型数显电子钟。
数字电子钟的电路组成方框图如图1.1所示。
图1.1
数字电子钟框图
由图1.1可见,数字电子钟由以下几部分组成:石英晶体振荡器和分频器组成的秒脉冲发生器;校时电路;六十进制秒、分计数器,二十四进制(或十二进制)计时计数器;秒、分、时的译码显示部分等。
二、设计任务和要求
用中、小规模集成电路设计一台能显示日、时、分、秒的数字电子钟,要求如下:
1.由晶振电路产生1Hz标准秒信号。
2.秒、分为00~59六十进制计数器。
3. 时为00~23二十四进制计数器。
4. 周显示从1~日为七进制计数器。
5. 可手动校时:能分别进行秒、分、时、日的校时。只要将开关置于手动位置,可分别对
秒、分、时、日进行手动脉冲输入调整或连续脉冲输入的校正。
6. 整点报时。整点报时电路要求在每个整点前呜叫五次低音(500Hz ),整点时再呜叫一次高音(1000Hz )。
三、可选用器材
1. 通用实验底板
2. 直流稳压电源
3. 集成电路:CD4060、74LS74、74LS161、74LS248及门电路
4. 晶振:32768 Hz
5. 电容:100μF/16V 、22pF 、3~22pF 之间
6. 电阻:200Ω、10K Ω、22M Ω
7. 电位器:2.2K Ω或4.7K Ω
8. 数显:共阴显示器LC5011-11
Proteus电子钟仿真实验高清版.pptx
c.人眼的视觉暂停时间大约是 0.02 秒,当画面每秒变化超过 24 帧时, 人眼会将这些快速变动的画面视作连续画面。数码管动态显示正是利用了人的 这一特性。
6
第四章 控制系统的软件设计
4.1 程序设计
本系统的软件系统主要可分为主程序、定时计数中断程序、时间调整程序、 闹钟设置程序四大模块。在程序设计过程中,加强了部分软件抗干扰措施,下 面对部分模块作介绍。
Proteus 仿真大赛
电 子 时 钟 仿 真
1
第一章 电子时钟总体设计
1. 电子时钟简介
电子钟是一种利用数字电路来显示秒、分、时的计时装置,与传统的机械钟 相比,它具有走时准确、显示直观、无机械传动装置等优点,因而得到广泛应用。 随着人们生活环境的不断改善和美化,在许多场合都用到电子时钟。
很多单片机产品具有实时时钟的功能,例如智能化仪器仪表、工业过程系统 及家用电器等。这里要求实现一个具有实时时钟显示和闹钟控制功能的数字钟。 通过数字钟的设计与制作,将前面所学的单片机内部定时资源、I/O 端口、键盘 和显示接口等知识融会贯通,锻炼独立设计、制作和调试应用系统的能力,深入 领会单片机应用系统的硬件设计、模块化程序设计及软硬件调试方法等,并掌握 单片机应用系统的开发过程。
2.4 电子时钟电路原理图
如图为电子时钟电路原理图
4
第三章 电子钟硬件介绍
EDA设计II讲稿(数字钟)PPT课件
门
门门
1 kHz 59’59 512Hz’’ 59’51’’ (53’’
实验报告要求
1、设计文档,即设计报告
★ 封面: 包括名称,学号,姓名,院系,指导教师,时间等;
★ 摘要和关键词(中英文); ★ 目录; ★ 正文:
*设计要求说明 *方案论证(整体电路的工作原理) *各子模块设计原理
*调试 *仿真 *编程下载 ★ 结论; ★ 参考文献。
Βιβλιοθήκη Baidu码器
计数器
模24 模60 模60 (小时) (分) (秒)
8421 BCD SL
SH
ML MH
HL
HH
24选4 MUX
A2 A1 A0
CLK2 计数器
a
8421 BCD
显示
译码器
b c d
7447
e f
g
译码器
74138
DIG0 DIG1 DIG2 DIG3 DIG4 DIG5
DIG0 DIG1 DIG2 DIG3 DIG4 DIG5
EDA设计(一)II
2021/2/9
多功能数字钟实验内容:
利用QuartusII软件设计一个数字钟,并下载 到SmartSOPC实验系统中。
★题目简介:
设计一个数字计时器,可以完成00:00:00到 23:59:59的计时功能,并在控制电路的作用 下具有保持、清零、快速校时、快速校分、 整点报时等功能。
(完整版)多功能数字钟(电工毕业课程设计)
目录
1 前言
2 总体方案设计
2.1 方案论述
2.2 设计方式
3 单元模块电路设计
3.1时间显示电路模块设计
3.2按键及指示灯电路模块的设计3.3蜂鸣器及有源晶振电路的设计3.4 CPLD编程下载电路的设计3.5电源电路电路的设计
3.6 EPM7128SLC84器件介绍
4 CPLD 编程设计
4.1系统信号的定义及顶层模块4.2 时钟节拍产生模块
4.3模式选择功能模块
4.4快速时间设置功能模块
4.5秒、分、时计时与时间调整模块4.6闹铃时间设置模块
4.7闹铃与整点报时模块
4.8 7段显示译码模块
4.9 LED显示模块
5 系统功能及功能仿真
5.1系统功能
5.2功能仿真
5.2.1 时钟节拍产生模块的仿真波形5.2.2 模式选择功能模块的仿真波形5.2.3 闹铃设置功能模块的仿真波形5.2.4 7段译码功能模块的仿真波形5.2.5 LED显示功能模块的仿真波形
5.2.6 系统总体功能仿真波形
6 设计总结
7 参考文献
附录:基于CPLD的多功能数字钟电路图
1 前言
我们已经进入了数字化和信息化的时代,其特点是各种数字产品的广泛应用。现代数字产品在性能提高、复杂度增大的同时,其更新换代的步伐也越来越快,实现这种进步的因素在于生产制造技术和电子设计技术的进步。生产制造技术以微细加工技术为代表,目前已进展到深亚微米阶段,可以在几平方厘米的芯片上集成数千万个晶体管。
PLD器件和EDA技术的出现,改变了这种传统的设计思路,使人们可以立足于PLD芯片来实现各种不同的功能,新的设计方法能够由设计者自己定义器件内部逻辑和管脚,将原来由电路板设计完成的工作大部分放在芯片的设计中进行。这样不仅可以通过芯片设计实现各种逻辑功能,而且由于管脚定义的灵活性,减轻了原理图和印制板设计的工作量和难度,增加了设计的自由度,提高了效率。同时这种设计减少了所需芯片的种类和数量,缩小了体积,降低了功耗,提高了系统的可靠性。
实验一 数字钟设计
实验一 数字钟设计
实验目的:
一、了解数字钟的基本构成及原理。 二、灵活运用各种芯片。
三、对EDA 元件有更进一步的熟悉。
实验内容:
一、数字钟的基本构成框图
74161是一种同步4位二进制加法集成计数器。它具有异步清除功能(又称复位功能),也具有同步置数功能,计数功能等。用74161可以构成任意(N)进制计数器的方法。下图为74161的芯片:
用74161构成十进制计数器并将其生成块,其原理图如下:
再将74161构成四进制计数器,原理图及波形图如下:
十进制的模块如下:
由十进制构成二十四进制和六十进制,原理图和波形图分别如下:一、二十四进制
六十进制
将二十四进制和六十进制原理图生成模块,分别如下:二十四进制的模块:
其中CLK是脉冲的输入端,CO1是其进位端
Q1,Q2,Q3,Q4,q5,q6,q7,q8都是其输出端。
六十进制的模块:
由之前构成的四进制和74138芯片构成二四译码器,74138芯片如下图所示:
它有三个输入端A,B,C,8
个输出端Y0~Y7,所以
常称3线~8线译码器,
属于全译码器。输出低
电平有效,G1、
G2A,G2B,为使能输入
端。G1=0是译码器停止
工作,输出全部为高电
平;G2A+G2B=1时,
译码器也不工作。只有
G1=1,G2A+G2B=0时
译码器才工作。
由四进制和74138芯片构成二四译码器原理图如下:
再将其生成一个模块,如下图:
由三态门构成数据选择器,三态门是指输出三种状态的逻辑门,简称TS 门。它是在计算机中得以广泛利用的特殊门电路。三态门是由三种输出状态:高电阻、低电平工作状态和高阻状态。三态门可以用来构成单向总线,也可以构成双向总线。其工作原理为:当EN=0时,G 输出为1,VD1截止,与P 点相连的V1的发射结也截止。三态门相当于一个正常的二输入与非门,输出Y=AB
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
-
数字电子技术课程设计报告
题目:数字钟的设计与制作
:
专业:电气本一班
学号:姓名:
指导教师:
时间:
-
—
一、设计内容
数字钟设计
…
技术指标:
(1)时间以24小时为周期;
(2能够显示时,分,秒;
(3)有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间;
(4)计时过程具有报时功能,当时间到达整点前5秒进行蜂鸣报时;
(5)为了保证计时的稳定及准确须由晶体振荡器提供表针时间基准信号.
~
二、设计时间:
第十五、十六周
三、设计要求:
(1)画出设计的电路原理图;
$
(2) 选择好元器件及给出参数,在原理图中反应出来;
(3)并用仿真软件进行模拟电路工作情况;
(4)编写课程报告。
!
摘要
数字钟实际上是一个对标准频率(1Hz)进行计数的计数电路。振荡器产生的时钟信号经过分频器形成秒脉冲信号,秒脉冲信号输入计数器进行计数,并把累计结果以“时”、“分”、“秒”的数字显示出来。秒计数器电路计满60后触发分计数器电路,分计数器电路计满60后触发时计数器电路,当计满24小时后又开始下一轮的循环计数。一般由振荡器、分频器、计数器、译码器、数码显示器等几部分组成。
振荡电路:主要用来产生时间标准信号,因为时钟的精度主要取决于时间标准信号的频率及稳定度,所以采用石英晶体振荡器。
分频器:因为振荡器产生的标准信号频率很高,要是要得到“秒”信号,需一定级数的分频器进行分频。
计数器:有了“秒”信号,则可以根据60秒为1分,24小时为1天的进制,分别设定“时”、“分”、“秒”的计数器,分别为60进制,60进制,24进制计数器,并输出一分,一小时,一天的进位信号。
译码显示:将“时”“分”“秒”显示出来。将计数器输入状态,输入到译码器,产生驱动数码显示器信号,呈现出对应的进位数字字型。
由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路可以对分和时进行校时。另外,计时过程要具有报时功能,当时间到达整点前10秒开始,蜂鸣器1秒响1秒停地响5次。
}
为了使数字钟使用方便,在设计上使用了一个变压器和一个整流桥来实现数字钟电能的输入,使得可以方便地直接插入220V的交流电就可以正常地使用了。关键词数字钟振荡计数校正报时
—
目录
1设计目的 (4)
2设计要求 (4)
&
3设计原理及框图 (4)
数字钟的构成 (4)
数字钟的原理 (5)
晶体振荡电路 (6)
分频器电路 (7)
时间计数单元 (8)
校时电路 (11)
整点报时电路 (13)
【
4 所用元件列表 (15)
5整体设计图 (16)
6设计体会 (17)
7参考文献 (18)
1设计目的
。
1.使学生在学完了《电子技术基础》课程的基本理论,基本知识后,能够综合运用所学理论知识、拓宽知识面,系统地进行电子电路的工程实践训练,锻炼动手能力,培养工程师的基本技能,提高分析问题和解决问题的能力。
2.熟悉集成电路的引脚安排,掌握各芯片的逻辑功能及使用方法了解面包板结构及其接线方法,了解数字钟的组成及工作原理。学会检查电路的故障与排除故障的一般方法
3.学会检查电路的故障与排除故障的一般方法,掌握虚拟设计,学会使用一种电路分析软件(EWB或PSPICES)在计算机上进行电路设计与分析的方法。
2设计要求
1. 时间以24小时为一个周期;
2. 显示时、分、秒;
3. 有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间;
4. 计时过程具有报时功能,当时间到达整点前5秒进行蜂鸣报时;
.
5. 为了保证计时的稳定及准确须由晶体振荡器提供表针时间基准信号。
3 设计原理及框图
1.数字钟的构成
数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路,同时标准的1HZ时间信号必须做到准确稳定。通常使用石英晶体振荡器电路构成数字钟。
图1 数字钟的基本逻辑框图
⑴晶体振荡器电路
】
晶体振荡器电路给数字钟提供一个频率稳定准确的32768Hz的方波信号,可保证数字钟的走时准确及稳定。不管是指针式的电子钟还是数字显示的电子钟都使用了晶体振荡器电路。
⑵分频器电路
分频器电路将32768Hz的高频方波信号经32768(15
2)次分频后得到1Hz的方波信号供秒计数器进行计数。分频器实际上也就是计数器。
⑶时间计数器电路
时间计数电路由秒个位和秒十位计数器、分个位和分十位计数器及时个位和时十位计数器电路构成,其中秒个位和秒十位计数器、分个位和分十位计数器为60进制计数器,而根据设计要求,时个位和时十位计数器为12进制计数器。
⑷译码驱动电路
译码驱动电路将计数器输出的8421BCD码转换为数码管需要的逻辑状态,并且为保证数码管正常工作提供足够的工作电流。
⑸数码管
<
数码管通常有发光二极管(LED)数码管和液晶(LCD)数码管,本设计提供的为LED数码管。
2.数字钟的工作原理
1)晶体振荡器电路
D1、D2是反相器,D1用于振荡,D2用于缓冲整形。Rf为反馈电阻(10~100M Ω),反馈电阻的作用是为CMOS反相器提供偏置,使其工作在放大状态。C1是频率微调电容,改变C1可对振荡器频率作微量调整,C1一般取5~35pF。C2