FPGA可编程逻辑器件芯片XQ6VLX240T-2RF1156I中文规格书
FPGA可编程逻辑器件芯片XCZU21DR-2FFVD1156I中文规格书
Introduction to the RocketIO GTX TransceiverOverviewThe RocketIO™ GTX transceiver is a power-efficient transceiver for Virtex®-5 FPGAs. TheGTX transceiver is highly configurable and tightly integrated with the programmable logicresources of the FPGA. It provides the following features to support a wide variety ofapplications:•Current Mode Logic (CML) serial drivers/buffers with configurable termination,voltage swing, and coupling.•Programmable TX pre-emphasis, RX equalization, and linear and decision feedbackequalization (DFE) for optimized signal integrity.•Line rates from 750Mb/s to 6.5Gb/s, with optional 5x digital oversampling requiredfor rates between 150Mb/s and 750Mb/s. The nominal operation range of the sharedPMA PLL is from 1.5GHz to 3.25GHz. These are nominal values, see DS202: Virtex-5FPGA Data Sheet for specifications.•Optional built-in PCS features, such as 8B/10B encoding, comma alignment, channelbonding, and clock correction.•Fixed latency modes for minimized, deterministic datapath latency.•Beacon signaling for PCI Express® designs and Out-of-Band signaling includingCOM signal support for SATA designs.•RX/TX Gearbox provides header insertion and extraction support for 64B/66B and64B/67B (Interlaken) protocols.•Receiver eye scan:♦Vertical eye scan in the voltage domain for testing purposes♦Horizontal eye scan in the time domain for testing purposesThe first-time user is recommended to read High-Speed Serial I/O Made Simple[Ref1], whichdiscusses high-speed serial transceiver technology and its applications.Table1-1 lists some of the standard protocols designers can implement using the GTXtransceiver. The Xilinx® CORE Generator™ tool includes a Wizard to automaticallyconfigure GTX transceivers to support one of these protocols or perform customconfiguration (see Chapter2, “RocketIO GTX Transceiver Wizard”).The GTX_DUAL tile offers a data rate range and features that allow physical layer supportfor various protocols as illustrated in Table1-1.Chapter 1:Introduction to the RocketIO GTX TransceiverFigure1-3 shows a diagram of a GTX_DUAL tile, containing two GTX transceivers and ashared resources block. The GTX_DUAL tile is the HDL primitive used to operate GTXtransceivers in the FPGA.Notes:1.CLKIN is a simplification for a clock source. See Figure5-3, page97 for details on CLKIN.Figure 1-3:GTX_DUAL Tile Block DiagramPorts and AttributesTable 1-3 lists alphabetically the signal names, clock domains, directions, and descriptions for the GTX_DUAL ports, and provides links to their detailed descriptions.MGTRREF_R In (Pad)TXT only: Reference resistor input for the X1 column. Analog Design Guidelines (page 254)MGTRREF_L In (Pad)TXT only: Reference resistor input for the X0 column. Analog Design Guidelines (page 254)MGTRXN0MGTRXP0MGTRXN1MGTRXP1In (Pad)Differential complements forming adifferential receiver input pair foreach transceiver.RX Termination andEqualization (page 162)MGTTXN0MGTTXP0MGTTXN1MGTTXP1Out (Pad)Differential complements forming a differential transmitter output pair for each transceiver.RX Termination and Equalization (page 162)Table 1-2:GTX_DUAL Analog Pin Summary (Cont’d)PinDir DescriptionSection (Page)Table 1-3:GTX_DUAL Port Summary PortDir Domain DescriptionSection (Page)CLKINInAsyncReference clock input to the shared PMA PLL.Shared PMA PLL (page 87), Clocking (page 98),Power Control (page 110)DADDR[6:0]In DCLK DRP address bus.Dynamic Reconfiguration Port (page 117)DCLK In N/A DRP interface clock.Dynamic Reconfiguration Port (page 117)DENIn DCLK Enables DRP read or write operations.Dynamic Reconfiguration Port (page 117)DFECLKDLYADJ0[5:0]DFECLKDLYADJ1[5:0]In RXUSRCLK2DFE clock delay adjust control for each transceiver.Decision Feedback Equalization (page 167)DFECLKDLYADJMONITOR0[5:0]DFECLKDLYADJMONITOR1[5:0]Out RXUSRCLK2DFE clock delay adjust monitor for each transceiver.Decision Feedback Equalization (page 167)DFEEYEDACMONITOR0[4:0]DFEEYEDACMONITOR1[4:0]Out RXUSRCLK2Vertical Eye Scan for each transceiver (voltage domain).Decision Feedback Equalization (page 167)DFESENSCAL0[2:0]DFESENSCAL1[2:0]OutRXUSRCLK2DFE calibration status.Decision Feedback Equalization (page 167)DFETAP10[4:0]DFETAP11[4:0]In RXUSRCLK2DFE tap 1 weight value control for each transceiver (5-bit resolution).Decision Feedback Equalization (page 167)DFETAP1MONITOR0[4:0]DFETAP1MONITOR1[4:0]Out RXUSRCLK2DFE tap 1 weight value monitorfor each transceiver (5-bitresolution).Decision FeedbackEqualization (page 167)RXCHARISCOMMA0[3:0] RXCHARISCOMMA1[3:0]Out RXUSRCLK2Asserted when RXDATA is an8B/10B comma.RXCHARISCOMMA isinfluenced by the setting of theseattributes:DEC_MCOMMA_DETECT_0DEC_MCOMMA_DETECT_1DEC_PCOMMA_DETECT_0DEC_PCOMMA_DETECT_1Configurable 8B/10BDecoder (page200)RXCHARISK0[3:0] RXCHARISK1[3:0]Out RXUSRCLK2Asserted when RXDATA is an8B/10B K character.Configurable 8B/10BDecoder (page200)RXCHBONDI0[3:0] RXCHBONDI1[3:0]In RXUSRCLKFPGA channel bonding control.Used only by slaves.Configurable ChannelBonding (Lane Deskew)(page219)RXCHBONDO0[3:0] RXCHBONDO1[3:0]Out RXUSRCLK FPGA channel bonding control.Configurable ChannelBonding (Lane Deskew)(page219)RXCLKCORCNT0[2:0] RXCLKCORCNT1[2:0]Out RXUSRCLK2Reports the status of the elasticbuffer clock correction.Configurable ClockCorrection (page212)RXCOMMADET0 RXCOMMADET1Out RXUSRCLK2Asserted when the commaalignment block detects acomma.Configurable CommaAlignment and Detection(page192)RXCOMMADETUSE0 RXCOMMADETUSE1In RXUSRCLK2Activates the comma detectionand alignment circuit.Configurable CommaAlignment and Detection(page192)RXDATA0[31:0] RXDATA1[31:0]Out RXUSRCLK2Receive data bus of the receiveinterface to the FPGA.FPGA RX Interface(page236)RXDATAVALID0RXDATAVALID1Out RXUSRCLK2Data valid for RX Gearbox.RX Gearbox (page231)RXDATAWIDTH0[1:0] RXDATAWIDTH1[1:0]In RXUSRCLK2Selects the width of the RXDATAreceive data connection to theFPGA.Configurable 8B/10BDecoder (page200), FPGARX Interface (page236)RXDEC8B10BUSE0 RXDEC8B10BUSE1In RXUSRCLK2Enables the 8B/10B decoder.Configurable 8B/10BDecoder (page200)RXDISPERR0[3:0] RXDISPERR1[3:0]Out RXUSRCLK2Indicates if RXDATA wasreceived with a disparity error.Configurable 8B/10BDecoder (page200)RXELECIDLE0 RXELECIDLE1Out AsyncIndicates the differential voltagebetween RXN and RXP droppedbelow the minimum threshold.RX OOB/Beacon Signaling(page174)RXENCHANSYNC0 RXENCHANSYNC1In RXUSRCLK2Enables channel bonding.Configurable ChannelBonding (Lane Deskew)(page219)Table 1-3:GTX_DUAL Port Summary (Cont’d)Port Dir Domain Description Section (Page)。
FPGA可编程逻辑器件芯片XQ6VLX130T-1FFG1156I中文规格书
IntroductionThank you for designing with the Xilinx Virtex®-6 family of devices. Although Xilinx has made every effort to ensure the highest possible quality, the devices listed in Table 1 are subject to the limitations described in the following errata.DevicesThese errata apply to the devices shown in Table 1.Hardware Errata DetailsThis section provides a detailed description of each hardware issue known at the release time of this document.MMCMRestriction of Frequency Range for Bandwidth = HIGH or OPTIMIZEDWhen the Phase Frequency Detector (PFD) frequency (FIN/D) is lower than 135MHz and the BANDWIDTH attribute of the MMCM is set to HIGH or OPTIMIZED, a phase error between MMCM output clocks can occur, making the output clock signals invalid. This condition can also cause the fractional output counter to fail.The ISE® software v12.4 and later provides appropriate warnings for possible violations of this restriction.The ISE software v12.4 and later correctly handles designs set to OPTIMIZED bandwidth for all valid PFD frequencies.This issue will not be fixed in the devices listed in Table 1.Work-aroundPFD frequencies lower than 135MHz must use LOW bandwidth mode to ensure correct operation.See Answer Record 38132 for more information.Virtex-6 FPGA LX, LXT, SXT, and HXT Production ErrataEN142 (v1.13) March 24, 2011Errata NotificationTable 1:Devices Affected by These Errata Devices XC6VLX760JT AG ID (Revision Code): 2 or later XC6VLX550TJT AG ID (Revision Code): 0 or later XC6VLX365TJT AG ID (Revision Code): 0 or later XC6VLX240TJT AG ID (Revision Code): 4 or later XC6VLX195TJT AG ID (Revision Code): 4 or later XC6VLX130TJT AG ID (Revision Code): 4 or later XC6VLX75TJT AG ID (Revision Code): 4 or later XC6VSX475TJT AG ID (Revision Code): 4 or later XC6VSX315TJT AG ID (Revision Code): 4 or later XC6VHX250TJT AG ID (Revision Code): 2 or later XC6VHX255TJT AG ID (Revision Code): 4 or later XC6VHX380TJT AG ID (Revision Code): 4 or later XC6VHX565TJT AG ID (Revision Code): 2 or laterPackagesAll Speed Grades AllRestriction of Clock Divider ValuesThe input clock divider (DIVCLK_DIVIDE) cannot have a value of 3 or 4 when the input clock frequency (F IN) of the MMCM is above 315MHz.The ISE software v12.4 and later provides appropriate warnings for possible violations of this restriction.This issue will not be fixed in the devices listed in Table1.Work-aroundIn all designs in which F IN is above 315MHz and DIVCLK_DIVIDE is set to 3 or 4, double the CLKFBOUT_MULT_F and DIVCLK_DIVIDE values. See Answer Record 38133 for more information.Block RAMDual Port Block RAM Address Overlap in READ_FIRST and Simple Dual Port ModeWhen using the block RAM in True Dual Port (TDP) Read_First mode, Simple Dual Port (SDP) mode, or ECC mode with different clocks on ports A and B, the user must ensure certain addresses do not occur simultaneously on both ports when both ports are enabled and one port is being written to. Failure to observe this restriction can result in read and/or memory array corruption.The description is found in the Conflict Avoidance section in v1.3.1 (or later) of UG363, Virtex-6 FPGA Memory Resources User Guide.This description was originally added in UG363 (v1.1), published 9/16/09. This errata is being provided to highlight this change and ensure that all users are aware of this design restriction. The ISE v12.1 software and later provides appropriate warnings for possible violations of these restrictions.This issue will not be fixed in the devices listed in Table1.Work-aroundThe recommended work-around is to configure the block RAM in WRITE_FIRST mode. WRITE_FIRST mode is available in block RAMs configured in TDP mode in all ISE software versions. WRITE_FIRST mode is available in block RAMs configured in SDP mode from ISE v12.2 and later. See Answer Record 34859.Synchronous Built-in FIFOWhen using the Built-In FIFO as a Synchronous FIFO (EN_SYN=TRUE) with asynchronous reset, correct behavior of the FIFO flags cannot be guaranteed after the first write.All configurations other than EN_SYN=TRUE are not affected by this issue.Work-aroundsTo work around this issue, synchronize the negative edge of reset to RDCLK/WRCLK.For more information and additional work-arounds see Answer Record 41099.ConfigurationPROGRAM_B Pin Behavior During Power-OnHolding the PROGRAM_B input statically Low prior to the completion of the power-on reset does not hold the FPGA in configuration reset. Instead, the FPGA proceeds with its standard power-on configuration sequence.This issue will not be fixed in the devices listed in Table1.Work-aroundFor systems that need to delay the FPGA configuration sequence at power-on, hold the INIT_B pin Low.See Answer Record 38134 for more information.Input Logic Resets Using GSRWhen coming out of configuration after power-up or after asserting the PROGRAM_B_0 pin, the ILOGIC input registers (IFF, IDDR, and ISERDES) are not guaranteed to be initialized to zero. The same holds true if the GSR input of the STARTUP_VIRTEX6 block is used to reset the ILOGIC input registers. Initializing the registers to a one (using the "INIT=1" attribute) works as expected.GTX Transceiver Delay AlignerThe GTX Transceiver Delay Aligner circuit is used when the TX Buffer and/or RX Elastic Buffer are bypassed.The Transmitter Delay Aligner is no longer supported; additionally, the use model of the Receiver Delay Aligner must be changed.Applications that use the TX Buffer and RX Elastic Buffer are not affected by this errata item.Applications currently bypassing the TX Buffer and/or RX Elastic Buffer, including XAUI, RXAUI, CPRI, OBSAI, and PLBv46 RC/EP Bridge for PCI Express® IP cores and the Integrated Block for PCIe, must implement the following work-around.Date Version Description03/26/10 1.0Initial Xilinx release.04/09/10 1.1Updated Figure1.05/07/10 1.2Added Commercial devices. Updated Hardware Errata Details section. Added Dual Port Block RAM Address Overlap in READ_FIRST and Simple Dual Port Mode and GTX Transceiver Initialization for ProperTXOUTCLK Functionality sections. Updated Operating Range, noting applicability for Industrial gradedevices only.06/04/10 1.3Added LX195T production device. Updated Dual Port Block RAM Address Overlap in READ_FIRST and Simple Dual Port Mode. Updated Operating Range. Updated Design Software Requirements.06/18/10 1.4Added the LX75T device and updated JTAG IDs and speed grades in T able1. Added System Monitor. 07/02/10 1.5Added LX760, LX550T, SX475T, and SX315T production devices. The LX760 device does not include transceivers so the GTX T ransceivers (Does not apply to the LX760 Device) section does not apply to it. 07/30/10 1.6Updated Block RAM to reflect availability of WRITE_FIRST mode in ISE v12.2.09/21/10 1.7Added the LX365T production device. Added System Monitor Maximum DCLK Frequency. Updated System Monitor Internal Reference Voltage.11/16/10 1.8Updated JTAG ID (Revision Code) information in T able1. Added Restriction of Frequency Range for Bandwidth = HIGH or OPTIMIZED, Restriction of Clock Divider Values, PROGRAM_B Pin Behavior DuringPower-On, and GTX T ransceiver Initialization for Proper TXOUTCLK Functionality.01/17/11 1.9Updated TXOUTCLK and RXRECCLK Static Operating Behavior; no longer applicable to TXOUTCLK.Added GTX T ransceiver Delay Aligner per Xilinx Customer Notice XCN11009.。
FPGA可编程逻辑器件芯片XC6VLX240T-1FFG1156C中文规格书
Preface About This GuideThis user guide introduces the Virtex®-6 FPGA ML605 board features, providesinstructions for setting up the hardware, and includes step-by-step procedures forverifying the ML605 board functionality.Additional DocumentationGetting Started with PCI Express PIO DemonstrationGetting Started with PCI Express PIO DemonstrationThe LogiCORE™ IP Virtex-6 Integrated Block for PCI Express® core is a high-bandwidth,scalable, and reliable serial interconnect building block for use with Virtex-6 FPGAdevices. The Integrated Block for PCI Express solution supports 1-lane, 2-lane, 4- lane, and8-lane Endpoint and Root Port configurations at up to Gen2 speed, all of which arecompliant with the PCI Express Base Specification, v2.0.For information about the internal architecture of the Virtex-6 FPGA Integrated Block, seethe LogiCORE™ IP Virtex-6 FPGA Integrated Block User Guide for PCI Express. [Ref18]Figure1-27 illustrates the interfaces to the core.Figure 1-27:Interfaces to the CoreThe ML605 x8 PCI Express Gen 1 Programmed Input Output (PIO) design consists of asimple example that can accept read and write transactions and respond to requests. PIOtransactions are generally used by a PCI Express system host CPU to access MemoryMapped Input Output (MMIO) and Configuration Mapped Input Output (CMIO)locations in the PCI Express fabric. Endpoints for PCI Express accept Memory and IO Writetransactions and respond to Memory and IO Read transactions with Completion with Datatransactions.The ML605 PIO example design is included with the Endpoint for PCIe generated by theCORE Generator, which allows users to easily bring up their system board with a knownestablished working design to verify the link and functionality of the board.The step-by-step procedure for creating the PIO design by Xilinx CORE Generator™software is illustrated by the ML605 PCIe x8 Gen1 Design Creation tutorial[Ref23]..Getting Started with the Base Reference DesignGetting Started with the Base Reference DesignThe Base Reference Design targeting the ML605 evaluation board, will filter images thatare transferred via Ethernet between the evaluation board and a PC. The images are storedin DDR3 SDRAM available on the evaluation board. The stored image is continuously readfrom SDRAM and filtered by the LX240T FPGA. The resulting image is continuouslystored back in the DDR3 SDRAM. This filtered image is then retrieved by the BaseReference Design Interface Software and displayed on a PC.Figure1-43shows a block diagram of the base reference design that has been implementedin the Virtex-6 LX240T FPGA. The reference design includes common functions forEthernet SGMII communication, external memory interface, UART, and control.Figure 1-43:Base Reference Design Block DiagramA DDR3 Memory Controller Block is used to store both the unfiltered and filtered imagesin the DDR3 SDRAM. These images are sent from a PC via a series of Ethernet packets.This memory controller is continuously reading, filtering, and storing images back intothis memory. The PC also periodically retrieves the filtered images via Ethernet for display.The Ethernet Management section includes an on-chip hard coded MAC and a PacketProcessing Engine. This section provides a way to control various aspects of the demo,transfer images between the demo board and a PC, and receive status from the demo. Asimple MDIO controller is implemented using a Xilinx PicoBlaze™ processor. The purposeof this controller is to determine presence of an Ethernet link as well as its operating speed.The Image Processing structure consists of a 5x5 pixel 2D FIR filter.Getting Started with the Base Reference DesignSetting up the Hardware for the Base Reference Design1.Power-off the ML6052.Connect one end of the provided Ethernet cable to the RJ45 connector P2 on the ML605and the other end to the Ethernet port on your PC. This connection will be used forcommunication between the ML605 board and your PC.3.Set the Ethernet Jumpers for SGMII mode♦J66: Shunt over pins 2 and 3♦J67: Shunt over pins 2 and 3♦J68: No shunt4.Insert the provided CompactFlash (CF) card into the ML605 CF reader (U73)5.Set the SACE MODE switch S1 to 1011 (Position 4 to Position 1). This will configure theFPGA from the ACE file stored at configuration address 3 on the CF card6.Do not change any other factory default settings7.Power-on the ML605Getting Additional Help and SupportGetting Additional Help and SupportSupportFor questions regarding products within your Product Entitlement Account or if you feelyou have received this notification in error, send an email message to your regionalCustomer Service Representative:。
FPGA可编程逻辑器件芯片XCKU060-2FFVB1156I中文规格书
Pin DefinitionsTable1-5 lists the pin definitions used in UltraScale and UltraScale+ device packages.Table 1-5:Pin DefinitionsPin Name Type Direction DescriptionUser I/O PinsIO_L[1to24][P or N]_T[0to3] [U or L]_N[0to12]_ [multi-function]_[bank number] orIO_T[0to3][U or L]_N[0to12]_[multi-function]_[bank number]Dedicated Input/Output Most user I/O pins are capable of differential signaling and can be implemented as pairs. Each user I/O pin name consists of several indicator labels, where:•IO indicates a user I/O pin.•L[1to24] indicates a unique differential pair with P (positive) and N (negative) sides. User I/O pins without the L indicator are single-ended.•T[0 to 3][U or L] indicates the assigned byte group and nibble location (upper or lower portion) within that group for the pin.•N[0 to 12] the number of the I/O within its byte group.•[multi-function] indicates any other functions that the pin can provide. If not used for this function, the pin can be a user I/O.•[bank number] indicates the assigned bank for the user I/O pin.User I/O Multi-Function PinsGC or HDGC Multi-function Input/OutputFour global clock (GC) pin pairs are in each bank. HDGCpins have direct access to the global clock buffers. GC pinshave direct access to the global clock buffers, MMCMs,and PLLs that are in the clock management tile (CMT)adjacent to the same I/O bank. GC and HDGC inputsprovide dedicated, high-speed access to the internalglobal and regional clock resources. GC and HDGC inputsuse dedicated routing and must be used for clock inputswhere the timing of various clocking features isimperative. GC or HDGC pins can be treated as user I/Owhen not used as input clocks.Up-to-date information about designing with the GC(or HDGC) pin is available in the UltraScale ArchitectureClocking Resources User Guide (UG572) [Ref6].VRP(1)Multi-function N/A This pin is for the DCI voltage reference resistor of P transistor (per bank, to be pulled Low with a reference resistor).Die Level Bank Numbering OverviewBanking and Clocking Summary•For each device, not all banks are bonded out in every package.GTH/GTY/GTM Columns•One GTH/GTY Quad=Four transceivers=Four GTHE3 or GTYE3 primitives.•One GTM Dual=Two transceivers=Two GTME3 primitives•Not all GT Quads/Duals are bonded out in every package.•Also shown are quads/duals labeled with RCAL. This specifies the location of the RCAL masters for each device. With respect to the package, the RCAL masters are located on the same package pin for each package, regardless of the device.•The XY coordinates shown in each quad/dual correspond to the transceiver channel number found in the pin names for that quad/dual, as shown in Figure1-1.•An alphabetic designator is shown in each quad/dual. Each letter corresponds to the columns in Table1-7 and Table1-9.•The power supply group is shown in brackets [] for each quad/dual.I/O Banks•Each user I/O bank has a total of 52 I/Os where 48 can be used as differential (24differential pairs) or single-ended I/Os. The remaining four function only assingle-ended I/Os. All 52 pads of a bank are not always bonded out to pins.• A limited number of banks have fewer than 52 SelectIO pins. These banks are labeled as partial.•Adjacent to each bank is a physical layer (PHY) containing a CMT and other clock resources.•Adjacent to each bank and PHY is a tile of logic resources that makes up a clock region.•Banks are arranged in columns and separated into rows which are pitch-matched with adjacent PHY, clock regions, and GT blocks.•An alphabetic designator is shown in each bank. Each letter corresponds to the columns in Table1-7 and Table1-9.。
FPGA可编程逻辑器件芯片XC6VSX315T-L1FFG1156I中文规格书
Packaging OverviewSummaryThis chapter covers the following topics:•Introduction•Device/Package Combinations and Maximum I/Os•Pin DefinitionsIntroductionThis section describes the pinouts for Virtex®-5 devices in the 1.00mm pitch flip-chip fine-pitch BGA packages.Virtex-5 devices are offered exclusively in high performance flip-chip BGA packages thatare optimally designed for improved signal integrity and jitter. Package inductance isminimized as a result of optimal placement and even distribution as well as an increasednumber of Power and GND pins.All of the devices supported in a particular package are pinout compatible and are listed inthe same table (one table per package). Pins that are not available for the smaller devicesare listed in the “No Connects” column of each table.For Virtex-5Q devices, the EF package is offered. The only difference between an EF and anFF package is that the discrete substrate capacitors on the EF package are coated withepoxy. The coating is comprised of an undercoat epoxy that is dispensed under thecapacitors and an overcoat epoxy that is dispensed over the top of the capacitors. All otherpackage construction characteristics of the EF matches that of the FF package. The EFpackage changes are noted in Chapter4, “Mechanical Drawings.”Each device is split into eight or more I/O banks to allow for flexibility in the choice of I/Ostandards (see UG190: Virtex-5 FPGA User Guide). Global pins, including JTAG,configuration, and power/ground pins, are listed at the end of each table. Table1-7provides definitions for all pin types.For information on package electrical characteristics and how the characteristics aremeasured, refer to UG112: Device Package User Guide found on the Xilinx website.For the latest Virtex-5 FPGA pinout information, check the Xilinx website for any updatesto this document.Pin DefinitionsDedicated Configuration Pins (1)CCLK_0Input/Output Configuration clock. Output and input in Master mode or Input in Slave mode.CS_B_0Input In SelectMAP mode, this is the active-low Chip Select signal. D_IN_0Input In bit-serial modes, D_IN is the single-data input.DONE_0Input/OutputDONE is a bidirectional signal with an optional internal pull-up resistor. As an output, this pin indicates completion of the configuration process. As an input, a Low level on DONE can be configured to delay the start-up sequence.D_OUT_BUSY_0OutputIn SelectMAP mode, BUSY controls the rate at which configuration data is loaded.In bit-serial modes, DOUT gives preamble and configuration data to down-stream devices in a daisy chain.HSWAPEN_0InputEnable I/O pullups during configurationINIT_B_0Bidirectional(open-drain)When Low, this pin indicates that the configuration memory is being cleared.When held Low, the start of configuration is delayed. During configuration, aLow on this output indicates that a configuration data error has occurred.M0_0, M1_0, M2_0Input Configuration mode selectionPROGRAM_B Input Active Low asynchronous reset to configuration logic. This pin has a permanent weak pull-up resistor.RDWR_B_0Input In SelectMAP mode, this is the active-low Write Enable signal.TCK_0Input Boundary-Scan Clock.TDI_0Input Boundary-Scan Data Input.TDO_0Output Boundary-Scan Data Output.TMS_0Input Boundary-Scan Mode Select.DXP_0, DXN_0 N/ATemperature-sensing diode pins (Anode: DXP; Cathode: DXN).Reserved Pins RSVD N/A Reserved pins—must be tied to ground.FLOAT N/ADo not connect this pin to the board. Leave floating.Other Pins GND N/A Ground.VBATT_0N/A Decryptor key memory backup supply; this pin should be tied to V CC or GND.VCCAUX N/A Power-supply pins for auxiliary circuits.VCCINT N/A Power-supply pins for the internal core logic.VCCO_#(2)N/APower-supply pins for the output drivers (per bank).Table 1-7:Virtex-5 FPGA Pin Definitions (Continued)Pin NameDirectionDescriptionFF323 Package—LX20T and LX30T17IO_L8P_CC_17P1017IO_L8N_CC_17(2)N1017IO_L9P_CC_17U1617IO_L9N_CC_17(2)U1517IO_L10P_CC_17V1817IO_L10N_CC_17(2)V1717IO_L11P_CC_17R10Table 2-1:FF323 Package—LX20T and LX30T (Continued)BankPin DescriptionPin NumberNo Connect (NC)Chapter 2:Pinout TablesFF324 Package—LX30 and LX50Table 2-2:FF324 Package—LX30 and LX50Bank Pin Description Pin Number No Connect (NC)0DXP_0L100DXN_0L90AVDD_0H100AVSS_0H90VP_0J100VN_0K90VREFP_0K100VREFN_0J90VBATT_0T180PROGRAM_B_0U180HSWAPEN_0T170D_IN_0R70DONE_0P80CCLK_0N80INIT_B_0M80CS_B_0R160RDWR_B_0P150RSVD(3)R140RSVD(3)P140TCK_0M90M0_0N120M2_0N130M1_0L110TMS_0V50TDI_0U50D_OUT_BUSY_0T60TDO_0U61IO_L0P_A19_1F111IO_L0N_A18_1 G111IO_L1P_A17_1 G101IO_L1N_A16_1 F9FF324 Package—LX30 and LX50Table 2-2:FF324 Package—LX30 and LX50 (Continued)Bank Pin Description Pin Number No Connect (NC) 18IO_L11P_CC_18 N618IO_L11N_CC_18(2)P518IO_L12P_VRN_18 T218IO_L12N_VRP_18 T118IO_L13P_18 N718IO_L13N_18 P718IO_L14P_18 V118IO_L14N_VREF_18 U118IO_L15P_18 P418IO_L15N_18 R418IO_L16P_18 V218IO_L16N_18 V318IO_L17P_18 R518IO_L17N_18 R618IO_L18P_18 U318IO_L18N_18 T318IO_L19P_18 T418IO_L19N_18 U4NA GND D1NA GND J1NA GND P1NA GND B2NA GND M2NA GND U2NA GND E3NA GND R3NA GND H4NA GND V4NA GND A5NA GND L5NA GND D6NA GND K6NA GND P6。
FPGA可编程逻辑器件芯片XQ6VSX475T-L1RF1156I中文规格书
DS310 (v1.7) June 28, 2005Product SpecificationFeatures•Optimized for 1.8V systems-As fast as 3.8ns pin-to-pin logic delays-As low as 12 μA quiescent current•Industry’s best 0.18 micron CMOS CPLD-Optimized architecture for effective logic synthesis-Multi-voltage I/O operation: 1.5V through 3.3V•Available in multiple package options-32-land QFN with 21 user I/O-44-pin PLCC with 33 user I/O-44-pin VQFP with 33 user I/O-56-ball CP BGA with 33 user I/O-Pb-free available for all packages•Advanced system features-Fastest in system programming· 1.8V ISP using IEEE 1532 (JTAG) interface-IEEE1149.1 JTAG Boundary Scan Test-Optional Schmitt-trigger input (per pin)-Two separate I/O banks-RealDigital 100% CMOS product term generation-Flexible clocking modes-Optional DualEDGE triggered registers-Global signal options with macrocell control·Multiple global clocks with phase selection permacrocell·Multiple global output enables·Global set/reset-Efficient control term clocks, output enables andset/resets for each macrocell and shared acrossfunction blocks-Advanced design security-Open-drain output option for Wired-OR and LEDdrive-Optional configurable grounds on unused I/Os-Optional bus-hold, 3-state or weak pullup onselected I/O pins-Mixed I/O voltages compatible with 1.5V, 1.8V,2.5V, and3.3V logic levels-PLA architecture·Superior pinout retention·100% product term routability across functionblock-Hot pluggable Refer to the CoolRunner™-II family data sheet for architec-ture description.Description The CoolRunner ™-II 32-macrocell device is designed for both high performance and low power applications. This lends power savings to high-end communication equipment and high speed to battery operated devices. Due to the low power stand-by and dynamic operation, overall system reli-ability is improved This device consists of two Function Blocks interconnected by a low power Advanced Interconnect Matrix (AIM). The AIM feeds 40 true and complement inputs to each Function Block. The Function Blocks consist of a 40 by 56 P-term PLA and 16 macrocells which contain numerous configura-tion bits that allow for combinational or registered modes of operation. Additionally, these registers can be globally reset or preset and configured as a D or T flip-flop or as a D latch. There are also multiple clock signals, both global and local product term types, configured on a per macrocell basis. Output pin configurations include slew rate limit, bus hold, pull-up,open drain and programmable grounds. A Schmitt trigger input is available on a per input pin basis. In addition to stor-ing macrocell output states, the macrocell registers may be configured as "direct input" registers to store signals directly from input pins. Clocking is available on a global or Function Block basis.Three global clocks are available for all Function Blocks as a synchronous clock source. Macrocell registers can be individually configured to power up to the zero or one state.A global set/reset control line is also available to asynchro-nously set or reset selected registers during operation.Additional local clock, synchronous clock-enable, asynchro-nous set/reset and output enable signals can be formed using product terms on a per-macrocell or per-Function Block basis. The CoolRunner-II 32-macrocell CPLD is I/O compatible with standard LVTTL and LVCMOS18, LVCMOS25, and LVCMOS33 (see Table 1). This device is also 1.5V I/O com-patible with the use of Schmitt-trigger inputs.Another feature that eases voltage translation is I/O bank-ing. Two I/O banks are available on the CoolRunner-II 32A macrocell device that permit easy interfacing to 3.3V, 2.5V,1.8V, and 1.5V devices.XC2C32A CoolRunner-II CPLDDS310 (v1.7) June 28, 2005Product SpecificationCoolRunner-II CPLD I2C Bus Controller ImplementationTable 1: CoolRunner-II I2C Controller Signal DescriptionIRQ Output Interrupt Request. Active Low.MCF Output Data Transferring Bit. While one byte of data isbeing transferred, this bit is cleared. It is set by thefalling edge of the ninth clock of a byte transfer. Thisbit is used to signal the completion of a byte transferto the μC.CLK Input Clock. This clock is input from the system. Theconstants used in generating a 100 KHz SCL signalassumes the frequency to be 1.832 MHz. Differentclock frequencies can be used, but the constants inthe VHDL source code must be recalculated. Block Diagram The block diagram of the CoolRunner-II I2C Controller, shown in Figure3 was broken into two major blocks, the μC interface and the I2C interface.Figure 3: CoolRunner-II I2C ControllerXAPP385 (v1.1) December 30, 2003。
FPGA可编程逻辑器件芯片XQ6VLX240T-1RF1156M中文规格书
General DescriptionThe Defense-Grade Virtex®-6Q family provides the most advanced features in the Aerospace & Defense FPGA market and represents the 3rd generation of secure silicon architecture products from Xilinx. Virtex-6Q FPGAs are the programmable silicon foundation forT argeted Design Platforms that deliver integrated software and hardware components to enable designers to reduce size, weight, power, and cost (SWaP-C) for defense systems while reducing mission risk. Included in the defense-grade product feature set is mask set control, ruggedized packaging, and anti-counterfeiting measures as well as actual full-range temperature testing and long-term product support. Additionally, the Virtex-6Q FPGAs fully support the suite of software, tools, and IP for Anti-tamper (A T) implementations per the DoD 5000 Series initiatives. Using the third-generation ASMBL™ (Advanced Silicon Modular Block) column-based architecture, the Virtex-6Q family contains two distinct sub-families: the LXT and SXT sub-families. Each sub-family contains a different ratio of features to most efficiently address the needs of a wide variety of advanced logic designs. In addition to the high-performance logic fabric, Virtex-6Q FPGAs contain many built-in system-level blocks. These features allow logic designers to build the highest levels of performance and functionality into their FPGA-based systems. Built on a 40nm state-of-the-art copper process technology, Virtex-6Q FPGAs are a programmable alternative to custom ASIC technology. Virtex-6Q FPGAs offer the best solution for addressing the needs of high-performance logic designers, high-performance DSP designers, and high-performance embedded systems designers with unprecedented logic, DSP, connectivity, and soft microprocessor capabilities.Summary of Virtex-6Q FPGA Features•T wo sub-families:•Virtex-6Q LXT FPGAs: High-performance logic with advanced serial connectivity•Virtex-6Q SXT FPGAs: Highest signal processing capability with advanced serial connectivity•Full temperature range testing•I-temp (-40°C to +100°C)•M-temp (-55°C to +125°C)•Compatibility across sub-families•LXT and SXT devices are footprint compatible in the same package•Ruggedized Packaging•Flow-through design for caustic cleaning/rinsing process •All eutectic (Pb/Sn) content for 'RF' package•Special defense-grade features•Mask set control•Anti-counterfeiting•Long-term product support (16 years)•Support for A T (Secure)•Advanced, high-performance FPGA Logic•Real 6-input look-up table (LUT) technology•Dual LUT5 (5-input LUT) option•LUT/dual flip-flop pair for applications requiring rich register mix•Improved routing efficiency•64-bit (or two 32-bit) distributed LUT RAM option per 6-input LUT•SRL32/dual SRL16 with registered outputs option •Powerful mixed-mode clock managers (MMCM)•MMCM blocks provide zero-delay buffering, frequency synthesis, clock-phase shifting, input-jitter filtering, andphase-matched clock division•36-Kb block RAM/FIFOs•Dual-port RAM blocks•Programmable-Dual-port widths up to 36 bits-Simple dual-port widths up to 72 bits•Enhanced programmable FIFO logic•Built-in optional error-correction circuitry•Optionally use each block as two independent 18Kb blocks •High-performance parallel SelectIO™ technology• 1.2 to 2.5V I/O operation•Source-synchronous interfacing usingChipSync™technology•Digitally controlled impedance (DCI) active termination•Flexible fine-grained I/O banking•High-speed memory interface support with integrated write-leveling capability•Advanced DSP48E1 slices•25x18, two's complement multiplier/accumulator•Optional pipelining•Optional pre-adder to assist filtering applications•Optional bitwise logic functionality•Dedicated cascade connections•Flexible configuration options•SPI and Parallel Flash interface•Multi-bitstream support with dedicated fallbackreconfiguration logic•Automatic bus width detection•System Monitor capability on all devices•On-chip/off-chip thermal and supply voltage monitoring •JT AG access to all monitored quantities•Integrated interface blocks for PCI Express® designs •Compliant to the PCI Express Base Specification 2.0•Gen1 (2.5Gb/s) and Gen2 (5Gb/s) support with GTX transceivers•Endpoint and Root Port capable•x1, x2, x4, or x8 lane support per block•GTX transceivers: up to 6.6Gb/s•Data rates below 480Mb/s supported by oversampling in FPGA logic•Integrated 10/100/1000 Mb/s Ethernet MAC block •Supports 1000BASE-X PCS/PMA and SGMII using GTX transceivers•Supports MII, GMII, and RGMII using SelectIOtechnology resources•2,500Mb/s support available•40nm copper CMOS process technology• 1.0V core voltage (-1 and -2 speed grades only)•Lower-power 0.9V core voltage option (-1L speed grade only)•High signal-integrity flip-chip packaging available in ruggedized or Pb-free package optionsDefense-Grade Virtex-6Q Family Overview DS155 (v1.1) February 8, 2012Product SpecificationClock ManagementEach Virtex-6Q FPGA has up to nine clock management tiles (CMTs), each consisting of two mixed-mode clock managers (MMCMs), which are PLL based.Phase-Locked LoopThe MMCM can serve as a frequency synthesizer for a wider range of frequencies and as a jitter filter for incoming clocks. The heart of the MMCM is a voltage-controlled oscillator (VCO) with a frequency from 600MHz up to 1,440MHz, spanning more than one octave. There are three sets of programmable frequency dividers (D, M, and O).The pre-divider D (programmable by configuration) reduces the input frequency and feeds one input of the traditional PLL phase/frequency comparator. The feedback divider (programmable by configuration) acts as a multiplier because it divides the VCO output frequency before feeding the other input of the phase comparator. D and M must be chosen appropriately to keep the VCO within its specified frequency range.The VCO has eight equally-spaced output phases (0°, 45°, 90°, 135°, 180°, 225°, 270°, and 315°). Each can be selected to drive one of the seven output dividers, O0 to O6 (each programmable by configuration to divide by any integer from 1 to 128). MMCM Programmable FeaturesThe MMCM has three input-jitter filter options: low bandwidth, high bandwidth, or optimized mode. Low-bandwidth mode has the best jitter attenuation but not the smallest phase offset. High-bandwidth mode has the best phase offset, but not the best jitter attenuation. Optimized mode allows the tools to find the best setting.The MMCM can have a fractional counter in either the feedback path (acting as a multiplier) or in one output path. Fractional counters allow non-integer increments of 1/8 and can thus increase frequency synthesis capabilities by a factor of 8.The MMCM can also provide fixed or dynamic phase shift in small increments that depend on the VCO frequency. At600MHz the phase-shift timing increment is 30ps.Clock DistributionEach Virtex-6Q FPGA provides five different types of clock lines (BUFG, BUFR, BUFIO, BUFH, and the high-performance clock) to address the different clocking requirements of high fanout, short propagation delay, and extremely low skew.•Each port can be configured as 32K×1, 16K×2, 8K×4, 4K×9 (or 8), 2K×18 (or 16), 1K×36 (or 32), or 512x72 (or 64). The two ports can have different aspect ratios, without any constraints.•Each block RAM can be divided into two completely independent 18Kb block RAMs that can each be configured to any aspect ratio from 16K x1 to 512x36. Everything described previously for the full 36Kb block RAM also applies to each of the smaller 18Kb block RAMs.•In 18Kb block RAMs, only simple dual-port mode can provide data width of >36 bits. In this mode, one port is dedicated to read and the other port is dedicated to write operation. In SDP mode one side (read or write) can be variable while the other is fixed to 32/36 or 64/72. There is no read output during write. The dual-port 36Kb RAM both sides can be of variable width.•Two adjacent 36Kb block RAMs can be configured as one cascaded 64K×1 dual-port RAM without any additional logic.Error Detection and CorrectionEach 64bit-wide block RAM can generate, store, and utilize eight additional Hamming-code bits, and perform single-bit error correction and double-bit error detection (ECC) during the read process. The ECC logic can also be used when writing to, or reading from external 64/72-wide memories. This works in simple dual-port mode and does not support read-during-write.FIFO ControllerThe built-in FIFO controller for single-clock (synchronous) or dual-clock (asynchronous or multirate) operation increments the internal addresses and provides four handshaking flags: full, empty, almost full, and almost empty. The almost full and almost empty flags are freely programmable. Similar to the block RAM, the FIFO width and depth are programmable, but the write and read ports always have identical width. First-word fall-through mode presents the first-written word on the data output even before the first read operation. After the first word has been read, there is no difference between this mode and the standard mode.Digital Signal Processing—DSP48E1 SliceDSP applications use many binary multipliers and accumulators, best implemented in dedicated DSP slices. All Virtex-6Q FPGAs have many dedicated, full-custom, low-power DSP slices combining high speed with small size, while retaining system design flexibility.Each DSP48E1 slice fundamentally consists of a dedicated 25×18 bit two's complement multiplier and a 48-bit accumulator, both capable of operating at 540MHz. The multiplier can be dynamically bypassed, and two 48-bit inputs can feed a single-instruction-multiple-data (SIMD) arithmetic unit (dual 24-bit add/subtract/accumulate or quad 12-bitadd/subtract/accumulate), or a logic unit that can generate any one of 10 different logic functions of the two operands.The DSP48E1 includes an additional pre-adder, typically used in symmetrical filters. This pre-adder improves performance in densely packed designs and reduces the logic slice count by up to 50%.The DSP48E1 slice provides extensive pipelining and extension capabilities that enhance speed and efficiency of many applications, even beyond digital signal processing, such as wide dynamic bus shifters, memory address generators, wide bus multiplexers, and memory-mapped I/O register files. The accumulator can also be used as a synchronous up/down counter. The multiplier can perform logic functions (AND, OR) and barrel shifting.The System Monitor does not require explicit instantiation in a design. Once the appropriate power supply connections are made, measurement data can be accessed at any time, even pre-configuration or during power down, through the JTAG test access port (TAP).Low-Power Gigabit TransceiversUltra-fast serial data transmission between ICs, over the backplane, or over longer distances is becoming increasingly popular and important. It requires specialized dedicated on-chip circuitry and differential I/O capable of coping with the signal integrity issues at these high data rates.All Virtex-6Q devices have between 12 to 36 gigabit transceiver circuits. Each GTX transceiver is a combined transmitter and receiver capable of operating at a data rate between 480Mb/s and 6.6 Gb/s. Lower data rates can be achieved using FPGA logic-based oversampling. The GTX transmitter and receiver are independent circuits that use separate PLLs to multiply the reference frequency input by certain programmable numbers between 4 and 25, to become the bit-serial data clock. Each GTX transceiver has a large number of user-definable features and parameters. All of these can be defined during device configuration, and many can also be modified during operation.TransmitterThe GTX transmitter is fundamentally a parallel-to-serial converter with a conversion ratio of 8, 10, 16, 20, 32, or 40. These transmitter outputs drive the PC board with a single-channel differential current-mode logic (CML) output signal. TXOUTCLK is the appropriately divided serial data clock and can be used directly to register the parallel data coming from the internal logic. The incoming parallel data is fed through a small FIFO and can optionally be modified with the 8B/10B, 64B/66B, or the 64B/67B algorithm to guarantee a sufficient number of transitions. The bit-serial output signal drives two package pins with complementary CML signals. This output signal pair has programmable signal swing as well as programmable pre-emphasis to compensate for PC board losses and other interconnect characteristics.ReceiverThe receiver is fundamentally a serial-to-parallel converter, changing the incoming bit serial differential signal into a parallel stream of words, each 8, 10, 16, 20, 32, or 40 bits wide. The receiver takes the incoming differential data stream, feeds it through a programmable equalizer (to compensate for PC board and other interconnect characteristics), and uses the F REF input to initiate clock recognition. There is no need for a separate clock line. The data pattern uses non-return-to-zero (NRZ) encoding and optionally guarantees sufficient data transitions by using the selected encoding scheme. Parallel data is then transferred into the FPGA logic using the RXUSRCLK clock. The serial-to-parallel conversion ratio for GTX transceivers can be 8, 10, 16, 20, 32, or 40.Out-of-Band SignalingThe GTX transceivers provide Out-of-Band (OOB) signaling, often used to send low-speed signals from the transmitter to the receiver, while high-speed serial data transmission is not active, typically when the link is in a power-down state or has not been initialized. This benefits PCI Express and SAT A/SAS applications.Integrated Interface Blocks for PCI Express DesignsThe PCI Express standard is a packet-based, point-to-point serial interface standard. The differential signal transmission uses an embedded clock, which eliminates the clock-to-data skew problems of traditional wide parallel buses.The PCI Express Base Specification Revision 2.0 is backwards compatible with Revision 1.1 and defines a configurable raw data rate of 2.5Gb/s, or 5.0Gb/s per lane in each direction. To scale bandwidth, the specification allows multiple lanes to be joined to form a larger link between PCI Express devices.All Virtex-6Q devices include two integrated interface blocks for PCI Express technology that can be configured as an Endpoint or Root Port, compliant to the PCI Express Base Specification Revision 2.0. The Root Port can be used to build the basis for a compatible Root Complex, to allow custom FPGA-FPGA communication via the PCI Express protocol, and to attach ASSP Endpoint devices such as Fibre Channel HBAs to the FPGA.This block is highly configurable to system design requirements and can operate 1, 2, 4, or 8 lanes at the 2.5Gb/s data rate and the 5.0Gb/s data rate. For high-performance applications, advanced buffering techniques of the block offer a flexible maximum payload size of up to 1,024 bytes. The integrated block interfaces to the GTX transceivers for serial connectivity, and to block RAMs for data buffering. Combined, these elements implement the Physical Layer, Data Link Layer, and Transaction Layer of the PCI Express protocol.。
FPGA可编程逻辑器件芯片XQ6VSX315T-L1FFG1156I中文规格书
840
Notes: 1. FFV1156 package is available in the LX75T, LX130T, LX195T, and LX240T devices only. 2. FFV1759 package is available in the LX240T device only.
integrated write-leveling capability
• Advanced DSP48E1 slices
• 25 x 18, two's complement multiplier/accumulator • Optional pipelining • New optional pre-adder to assist filtering
• Advanced, high-performance FPGA Logic
• Real 6-input look-up table (LUT) technology • Dual LUT5 (5-input LUT) option • LUT/dual flip-flop pair for applications requiring rich
applications • Optional bitwise logic functionality • Dedicated cascade connections
• Flexible configuration options
• SPI and Parallel Flash interface • Multi-bitstream support with dedicated fallback
• Powerful mixed-mode clock managers (MMCM)
FPGA可编程逻辑器件芯片XCKU040-2FFVA1156I中文规格书
SummaryThe Xilinx® Kintex® UltraScale™ FPGAs are available in -3, -2, -1, and -1L speed grades, with -3 having the highest performance. The -1L devices can operate at either of two V CCINT voltages, 0.95V and 0.90V and are screened for lower maximum static power. When operated at V CCINT = 0.95V, the speed specification of a -1L device is the same as the -1 speed grade. When operated at V CCINT = 0.90V, the -1L performance and static and dynamic power is reduced.DC and AC characteristics are specified in commercial, extended, industrial, and military temperature ranges. Except the operating temperature range or unless otherwise noted, all the DC and AC electrical parameters are the same for a particular speed grade (that is, the timing characteristics of a -1 speed grade industrial device are the same as for a -1 speed grade commercial device). However, only selected speed grades and/or devices are available in each temperature range.All supply voltage and junction temperature specifications are representative of worst-case conditions. The parameters included are common to popular designs and typical applications.This data sheet, part of an overall set of documentation on the UltraScale architecture-based devices, is available on the Xilinx.DC CharacteristicsKintex UltraScale FPGAs Data Sheet:DC and AC Switching CharacteristicsDS892 (v1.19) September 22, 2020Product SpecificationTable 1:Absolute Maximum Ratings (1)Symbol Description Min Max UnitsFPGA LogicV CCINT Internal supply voltage–0.500 1.100V V CCINT_IO (2)Internal supply voltage for the I/O banks –0.500 1.100V V CCAUX Auxiliary supply voltage–0.500 2.000V V CCBRAM Supply voltage for the block RAM memories –0.500 1.100V V CCOOutput drivers supply voltage for HR I/O banks –0.500 3.400V Output drivers supply voltage for HP I/O banks –0.500 2.000V V CCAUX_IO (3)Auxiliary supply voltage for the I/O banks –0.500 2.000V V REFInput reference voltage–0.500 2.000V V IN (4)(5)(6)I/O input voltage for HR I/O banks–0.400V CCO +0.550V I/O input voltage for HP I/O banks–0.550V CCO +0.550V I/O input voltage (when V CCO = 3.3V) for V REF and differential I/O standards except TMDS_33(7)–0.4002.625VProduction Silicon and Software StatusIn some cases, a particular family member (and speed grade) is released to production before a speed specification is released with the correct label (Advance, Preliminary, Production). Any labeling discrepancies are corrected in subsequent speed specification releases.Table22 lists the production released Kintex UltraScale FPGAs, speed grade, and the minimum corresponding supported speed specification version and Vivado software revisions. The Vivado software and speed specifications listed are the minimum releases required for production. All subsequent releases of software and speed specifications are valid.Table 22:Kintex UltraScale FPGAs Production Software and Speed Specification Release(1)DeviceSpeed Grade, Temperature Ranges, and V CCINT Operating Voltages1.0V0.95V0.90V -3E-2E, -2I-1C, -1I-1M-1LI-1LI(3)XCKU025(2)N/A Vivado Tools 2015.3 v1.23N/A N/A N/AXCKU035(2)Vivado Tools 2015.2.1v1.23 for FBVA676 andFFVA1156 packagesVivado Tools 2015.1 v1.23 forFBVA676 and FFVA1156 packages N/A Vivado Tools 2015.3 v1.23 Vivado Tools 2015.3 v1.23 for FBVA900N/AVivado Tools 2015.4 v1.23 for SFVA784N/A Vivado Tools 2015.4 v1.23 forSFVA784XCKU040(2)Vivado Tools 2015.2.1v1.23 for FBVA676 andFFVA1156 packagesVivado Tools 2015.1 v1.23 forFBVA676 and FFVA1156 packages N/A Vivado Tools 2015.3 v1.23 Vivado Tools 2015.3 v1.23 for FBVA900N/AVivado Tools 2015.4 v1.23 for SFVA784N/A Vivado Tools 2015.4 v1.23 forSFVA784XCKU060(2)Vivado Tools 2015.4 v1.23Vivado Tools 2015.2 v1.23N/A Vivado Tools2015.3 v1.23Vivado Tools 2015.4 v1.23XCKU085(2)Vivado Tools 2015.4 v1.24Vivado Tools 2015.3 v1.24N/A Vivado Tools 2016.1 v1.24 XCKU095N/A Vivado Tools 2015.3 v1.24N/A N/A N/A XCKU115(2)Vivado Tools 2015.4 v1.24Vivado Tools 2015.2.1 v1.24N/A Vivado Tools 2016.1 v1.24 XQKU040N/A Vivado Tools 2016.4 v1.23N/A N/A XQKU060N/A Vivado Tools 2016.4 v1.23N/A N/A XQKU095N/A Vivado Tools 2016.4 v1.24N/A N/A XQKU115N/A Vivado Tools 2016.4 v1.24N/A N/A N/A Notes:1.For designs developed using Vivado tools prior to 2016.4, see the design advisory answer record AR68169: DesignAdvisory for Kintex UltraScale FPGAs and Virtex UltraScale FPGAs—New minimum production speed specification version (Speed File) required for all designs.2.Designs with these devices that use the dedicated System Monitor I2C (I2C_SCL and I2C_SDA) or PCIe reset (PERSTN0or PERSTN1) I/O where the bank 65 V CCO=3.3V must use Vivado Design Suite 2015.4 or later.3.The lowest power -1L devices, where V CCINT=0.90V, are listed in the Vivado Design Suite as -1LV.DescriptionI/OBankTypeSpeed Grade and V CCINT Operating VoltagesUnits1.0V0.95V0.90V-3-2-1/-1L-1LMin Max Min Max Min Max Min MaxLVDS TX DDR (TX_BITSLICE 4:1, 8:1)HP3001600300160030014003001400Mb/s HR3001250300125030012503001250Mb/sLVDS TX SDR (TX_BITSLICE 2:1, 4:1)HP150800150800150700150700Mb/s HR150625150625150625150625Mb/sLVDS RX DDR (RX_BITSLICE 1:4, 1:8)(2)HP3001600(3)3001600(3)3001400(3)3001400(3)Mb/s HR3001250300125030012503001250Mb/sLVDS RX SDR (RX_BITSLICE 1:2,1:4)(2)HP 150800150800150700150700Mb/s HR150625150625150625150625Mb/sDescriptionI/O Bank TypeSpeed Grade and V CCINT Operating VoltagesUnits1.0V 0.95V 0.90V-3-2-1/-1L-1L MinMaxMinMaxMinMaxMinMaxQDR II+(6)All All Single rank component 633600600550550QDRIV-XPHPAllSingle rank component800800800667667(7)Table 26:Maximum Physical Interface (PHY) Rate for Memory Interfaces by I/O and PackageMemory StandardI/O Bank TypePackageDRAM TypeSpeed Grade, Temperature Ranges, and V CCINT Operating VoltagesUnits1.0V 0.95V0.90V-3E-2E-2I-1C/I -1M -1LI-1LI。
FPGA可编程逻辑器件芯片XQ6VLX130T-L1FFG1156I中文规格书
Chapter3:About Design ElementsCBD16CEMacro:16-Bit Cascadable Dual Edge Triggered Binary Counter with Clock Enable and Asynchronous ClearSupported ArchitecturesThis design element is supported in the following architectures:CoolRunner™-IIIntroductionThis element is an asynchronously clearable,cascadable dual edge triggered binary counter.The asynchronous clear(CLR)input,when High,overrides all other inputs and forces the Q outputs,terminal count(TC),and clock enable out(CEO)to logic level zero,independent of clock transitions.The Q outputs increment when the clock enable input(CE)is High during the Low-to-High and High-to-Low clock(C)transition.The counter ignores clock transitions when CE is Low.The TC output is High when all Q outputs are High.Create larger counters by connecting the CEO output of each stage to the CE input of the next stage and connecting the C and CLR inputs in parallel.CEO is active(High)when TC and CE are High.The maximum length of the counter is determined by the accumulated CE-to-TC propagation delays versus the clock period. The clock period must be greater than n(t CE-TC),where n is the number of stages and the time t CE-TC is theCE-to-TC propagation delay of each stage.When cascading counters,use the CEO output if the counter uses the CE input or use the TC output if it does not.This counter is asynchronously cleared,outputs Low,when power is applied.For CPLD devices,you can simulate power-on by applying a High-level pulse on the PRLD global net.Logic TableInputs OutputsCLR CE C Qz:Q0TC CEO1X X00000X No change No change001↑Inc TC CEO01↓Inc TC CEOz=bit width-1TC=Qz•Q(z-1)•Q(z-2)•...•Q0CEO=TC•CEDesign Entry MethodThis design element is only for use in schematics.CPLD Libraries GuideUG606(v14.7)October2,2013Chapter2:Functional CategoriesDesign Element DescriptionSR8RLED Macro:8-Bit Shift Register with Clock Enable andSynchronous ResetSRD16CE Macro:16-Bit Serial-In Parallel-Out Dual Edge TriggeredShift Register with Clock Enable and Asynchronous Clear SRD16CLE Macro:16-Bit Loadable Serial/Parallel-In Parallel-OutDual Edge Triggered Shift Register with Clock Enable andAsynchronous ClearSRD16CLED Macro:16-Bit Dual Edge Triggered Shift Register withClock Enable and Asynchronous ClearSRD16RE Macro:16-Bit Serial-In Parallel-Out Dual Edge TriggeredShift Register with Clock Enable and Synchronous ResetSRD16RLE Macro:16-Bit Loadable Serial/Parallel-In Parallel-OutDual Edge Triggered Shift Register with Clock Enable andSynchronous ResetSRD16RLED Macro:16-Bit Dual Edge Triggered Shift Register withClock Enable and Synchronous ResetSRD4CE Macro:4-Bit Serial-In Parallel-Out Dual Edge TriggeredShift Register with Clock Enable and Asynchronous Clear SRD4CLE Macro:4-Bit Loadable Serial/Parallel-In Parallel-Out DualEdge Triggered Shift Register with Clock Enable andAsynchronous ClearSRD4CLED Macro:4-Bit Dual Edge Triggered Shift Register with ClockEnable and Asynchronous ClearSRD4RE Macro:4-Bit Serial-In Parallel-Out Dual Edge TriggeredShift Register with Clock Enable and Synchronous ResetSRD4RLE Macro:4-Bit Loadable Serial/Parallel-In Parallel-Out DualEdge Triggered Shift Register with Clock Enable andSynchronous ResetSRD4RLED Macro:4-Bit Dual Edge Triggered Shift Register with ClockEnable and Synchronous ResetSRD8CE Macro:8-Bit Serial-In Parallel-Out Dual Edge TriggeredShift Register with Clock Enable and Asynchronous Clear SRD8CLE Macro:8-Bit Loadable Serial/Parallel-In Parallel-Out DualEdge Triggered Shift Register with Clock Enable andAsynchronous ClearSRD8CLED Macro:8-Bit Dual Edge Triggered Shift Register with ClockEnable and Asynchronous ClearSRD8RE Macro:8-Bit Serial-In Parallel-Out Dual Edge TriggeredShift Register with Clock Enable and Synchronous ResetSRD8RLE Macro:8-Bit Loadable Serial/Parallel-In Parallel-Out DualEdge Triggered Shift Register with Clock Enable andSynchronous ResetSRD8RLED Macro:8-Bit Dual Edge Triggered Shift Register with ClockEnable and Synchronous ResetCPLD Libraries GuideUG606(v14.7)October2,2013。
FPGA可编程逻辑器件芯片XCZU6CG-2FFVB1156I中文规格书
Kintex UltraScale+ FPGAs Data Sheet: DC and AC Switching CharacteristicsLVDS DC Specifications (LVDS_25)The LVDS_25 standard is available in the HD I/O banks. See the UltraScale Architecture SelectIO Resources User Guide (UG571) for more information.Table 18: LVDS_25 DC SpecificationsSymbol DC Parameter Min Typ Max Units V CCO1Supply voltage 2.375 2.500 2.625VV IDIFF Differential input voltage:1003506002mV (Q – Q), Q = High(Q – Q), Q = HighV ICM Input common-mode voltage0.300 1.200 1.425V Notes:1.LVDS_25 in HD I/O banks supports inputs only. LVDS_25 inputs without internal termination have no V CCO requirements. Any V CCO can bechosen as long as the input voltage levels do not violate the Recommended Operating Condition (Table 2) specification for the V IN I/O pin voltage.2.Maximum V IDIFF value is specified for the maximum V ICM specification. With a lower V ICM, a higher V DIFF is tolerated only when therecommended operating conditions and overshoot/undershoot V IN specifications are maintained.LVDS DC Specifications (LVDS)The LVDS standard is available in the HP I/O banks. See the UltraScale Architecture SelectIO Resources User Guide (UG571) for more information.Table 19: LVDS DC SpecificationsSymbol DC Parameter Conditions Min Typ Max Units V CCO1Supply voltage 1.710 1.800 1.890VR T = 100Ω across Q and Q signals247350454mVV ODIFF2Differential output voltage:(Q – Q), Q = High(Q – Q), Q = HighV OCM2Output common-mode voltage R T = 100Ω across Q and Q signals 1.000 1.250 1.425V1003506003mVV IDIFF3Differential input voltage:(Q – Q), Q = High(Q – Q), Q = HighV ICM_DC4Input common-mode voltage (DC coupling)0.300 1.200 1.425VV ICM_AC5Input common-mode voltage (AC coupling)0.600– 1.100V Notes:1.In HP I/O banks, when LVDS is used with input-only functionality, it can be placed in a bank where the V CCO levels are different from thespecified level only if internal differential termination is not used. In this scenario, V CCO must be chosen to ensure the input pin voltage levels do not violate the Recommended Operating Condition (Table 2) specification for the V IN I/O pin voltage.2.V OCM and V ODIFF values are for LVDS_PRE_EMPHASIS = FALSE.3.Maximum V IDIFF value is specified for the maximum V ICM specification. With a lower V ICM, a higher V DIFF is tolerated only when therecommended operating conditions and overshoot/undershoot V IN specifications are maintained.4.Input common mode voltage for DC coupled configurations. EQUALIZATION = EQ_NONE (Default).5.External input common mode voltage specification for AC coupled configurations. EQUALIZATION = EQ_LEVEL0, EQ_LEVEL1, EQ_LEVEL2,EQ_LEVEL3, EQ_LEVEL4.DS922 (v1.17) February 16, 2021Product SpecificationKintex UltraScale+ FPGAs Data Sheet: DC and AC Switching CharacteristicsAC Switching CharacteristicsAll values represented in this data sheet are based on the speed specifications in the Vivado® Design Suite as outlined in the following table.Table 20: Speed Specification Version By Device2020.2.2Device1.28XCKU3P, XCKU5P, XCKU9P, XCKU11P, XCKU13P, and XCKU15PXQKU5P, XQKU15P1.32XCKU19PSwitching characteristics are specified on a per-speed-grade basis and can be designated as Advance, Preliminary, or Production. Each designation is defined as follows:•Advance Product Specification: These specifications are based on simulations only and are typically available soon after device design specifications are frozen. Although speed grades with this designation areconsidered relatively stable and conservative, some under-reporting might still occur.•Preliminary Product Specification: These specifications are based on complete ES (engineering sample) silicon characterization. Devices and speed grades with this designation are intended to give a betterindication of the expected performance of production silicon. The probability of under-reporting delays is greatly reduced as compared to Advance data.•Product Specification: These specifications are released once enough production silicon of a particular device family member has been characterized to provide full correlation between specifications and devices over numerous production lots. There is no under-reporting of delays, and customers receive formalnotification of any subsequent changes. Typically, the slowest speed grades transition to production before faster speed grades.Testing of AC Switching CharacteristicsInternal timing parameters are derived from measuring internal test patterns. All AC switching characteristics are representative of worst-case supply voltage and junction temperature conditions.For more specific, more precise, and worst-case guaranteed data, use the values reported by the static timing analyzer and back-annotate to the simulation net list. Unless otherwise noted, values apply to all Kintex UltraScale+ FPGAs.Speed Grade DesignationsSince individual family members are produced at different times, the migration from one category to another depends completely on the status of the fabrication process for each device. Table 21 correlates the current status of the Kintex UltraScale+ FPGAs on a per speed grade basis.DS922 (v1.17) February 16, 2021Product Specification。
FPGA可编程逻辑器件芯片XCKU035-2FFVA1156I中文规格书
Chapter1 Packaging OverviewIntroduction to the UltraScale ArchitectureThe Xilinx® UltraScale™ architecture is the first ASIC-class architecture to enablemulti-hundred gigabit-per-second levels of system performance with smart processing,while efficiently routing and processing data on-chip. UltraScale architecture-based devices address a vast spectrum of high-bandwidth, high-utilization system requirements by using industry-leading technical innovations, including next-generation routing, ASIC-likeclocking, 3D-on-3D ICs, multiprocessor SoC (MPSoC) technologies, and new powerreduction features. The devices share many building blocks, providing scalability acrossprocess nodes and product families to leverage system-level investment across platforms.Virtex® UltraScale+™ devices provide the highest performance and integration capabilities in a FinFET node, including both the highest serial I/O and signal processing bandwidth, as well as the highest on-chip memory density. As the industry's most capable FPGA family, the Virtex UltraScale+ devices are ideal for applications including 1+Tb/s networking and data center and fully integrated radar/early-warning systems.Virtex UltraScale devices provide the greatest performance and integration at 20nm,including serial I/O bandwidth and logic capacity. As the industry's only high-end FPGA at the 20nm process node, this family is ideal for applications including 400G networking, large scale ASIC prototyping, and emulation.Kintex® UltraScale+ devices provide the best price/performance/watt balance in a FinFET node, delivering the most cost-effective solution for high-end capabilities, includingtransceiver and memory interface line rates as well as 100G connectivity cores. Our newest mid-range family is ideal for both packet processing and DSP-intensive functions and is well suited for applications including wireless MIMO technology, Nx100G networking, and data center.Kintex UltraScale devices provide the best price/performance/watt at 20nm and include the highest signal processing bandwidth in a mid-range device, next-generationtransceivers, and low-cost packaging for an optimum blend of capability andcost-effectiveness. The family is ideal for packet processing in 100G networking and data centers applications as well as DSP-intensive processing needed in next-generation medical imaging, 8k4k video, and heterogeneous wireless infrastructure.Zynq® UltraScale+ MPSoC devices provide 64-bit processor scalability while combining real-time control with soft and hard engines for graphics, video, waveform, and packet processing. Integrating an Arm®-based system for advanced analytics and on-chip programmable logic for task acceleration creates unlimited possibilities for applications including 5G Wireless, next generation ADAS, and Industrial Internet-of-Things.This packaging and pinout specification user guide is part of the UltraScale Architecture documentation suite available.Introduction to UltraScale and UltraScale+ FPGAs Packaging and PinoutsThis section describes the packages and pinouts for the UltraScale architecture-based FPGAs in various organic flip-chip 0.8mm and 1.0mm pitch BGA packages.•Kintex UltraScale and Kintex UltraScale+ devices are offered in low-cost, space-saving flip-chip and bare-die flip-chip packages that are optimally designed for highperformance-to-price ratio.•Virtex UltraScale and Virtex UltraScale+ devices are offered exclusively in high performance flip-chip BGA packages that are optimally designed for highest system capacity, bandwidth and signal performance. Package inductance is minimized as a result of optimal placement and even distribution as well as an increased number of power and GND pins.•Zynq UltraScale+ MPSoCs are further described in the Zynq UltraScale+ MPSoC Packaging and Pinouts User Guide (UG1075) [Ref4].particular package are footprint compatible. Each device is split into I/O banks to allow for flexibility in the choice of I/O standards. See the UltraScale Architecture SelectIO Resources User Guide (UG571) [Ref5].UltraScale and UltraScale+ device’s flip-chip assembly materials are manufactured using ultra-low alpha (ULA) materials defined as <0.002 cph/cm2 or materials that emit less than 0.002 alpha-particles per square centimeter per hour.FSVA3824SSI, flip-chip, fine-pitch, lidless with stiffener ring BGA 1.065x 65FSVB3824SSI, flip-chip, fine-pitch, lidless with stiffener ringBGA1.065x 65Notes:1.FFV, FLV, and FLG packages are footprint compatible when the package code letter designator and pin count are identical.See UltraScale Architecture and Product Overview (DS890) [Ref 1] for specific letter codes and ordering code information.2.These 52.5x 52.5 packages have the same PCB ball footprint as the 47.5x 47.5 packages and are footprint compatible.Table 1-1:Package Specifications (Cont’d)Packages (1)DescriptionPackage SpecificationsPackage Type Pitch (mm)Size (mm)XQVU3P FFRC1517040XQVU7P FLRA2104052XQVU7P FLRB2104076XQVU11PFLRC210496Table 1-3:Serial Transceiver Channels (GTH/GTY/GTM) by Device/PackageDevicePackageGTH Channels GTY Channels GTM ChannelsVirtex UltraScale+ DevicesXCVU27P FIGD210401630XCVU29P 01630XCVU27P FSGA257703248XCVU29P3248Table 1-2:Serial Transceiver Channels (GTH/GTY) by Device/Package (Cont’d)Device PackageGTH ChannelsGTY Channels。
FPGA可编程逻辑器件芯片XCKU060-2FFVA1156I中文规格书
Packaging OverviewIntroduction to the UltraScale ArchitectureThe Xilinx® UltraScale™ architecture is the first ASIC-class architecture to enablemulti-hundred gigabit-per-second levels of system performance with smart processing,while efficiently routing and processing data on-chip. UltraScale architecture-based devices address a vast spectrum of high-bandwidth, high-utilization system requirements by using industry-leading technical innovations, including next-generation routing, ASIC-likeclocking, 3D-on-3D ICs, multiprocessor SoC (MPSoC) technologies, and new powerreduction features. The devices share many building blocks, providing scalability acrossprocess nodes and product families to leverage system-level investment across platforms.Virtex® UltraScale+™ devices provide the highest performance and integration capabilities in a FinFET node, including both the highest serial I/O and signal processing bandwidth, as well as the highest on-chip memory density. As the industry's most capable FPGA family, the Virtex UltraScale+ devices are ideal for applications including 1+Tb/s networking and data center and fully integrated radar/early-warning systems.Virtex UltraScale devices provide the greatest performance and integration at 20nm,including serial I/O bandwidth and logic capacity. As the industry's only high-end FPGA at the 20nm process node, this family is ideal for applications including 400G networking, large scale ASIC prototyping, and emulation.Kintex® UltraScale+ devices provide the best price/performance/watt balance in a FinFET node, delivering the most cost-effective solution for high-end capabilities, includingtransceiver and memory interface line rates as well as 100G connectivity cores. Our newest mid-range family is ideal for both packet processing and DSP-intensive functions and is well suited for applications including wireless MIMO technology, Nx100G networking, and data center.Kintex UltraScale devices provide the best price/performance/watt at 20nm and include the highest signal processing bandwidth in a mid-range device, next-generationtransceivers, and low-cost packaging for an optimum blend of capability andcost-effectiveness. The family is ideal for packet processing in 100G networking and data centers applications as well as DSP-intensive processing needed in next-generation medical imaging, 8k4k video, and heterogeneous wireless infrastructure.Introduction to UltraScale and UltraScale+ FPGAs Packaging and PinoutsThis section describes the packages and pinouts for the UltraScale architecture-based FPGAs in various organic flip-chip 0.8mm and 1.0mm pitch BGA packages.Device/Package CombinationsTable 1-1 shows the size and BGA pitch of the UltraScale and UltraScale+ device packages.The devices with stacked-silicon interconnect (SSI) technology are labeled.Table 1-1:Package SpecificationsPackages (1)DescriptionPackage SpecificationsPackage Type Pitch (mm)Size (mm)FBVA676Bare-die, flip-chip, fine-pitch BGA1.027x 27FFVA676Flip-chip, fine-pitchFFVB676FFRB676Ruggedized, flip-chip, fine-pitch RBA676SFVA784Flip-chip, super-fine-pitch0.823x 23SFVB784SFRB784Ruggedized, flip-chip, super-fine pitch FBVA900Bare-die, flip-chip, fine-pitch 1.031x 31FFVD900Flip-chip, fine-pitch FFVE900FFVA1156Flip-chip, fine-pitch35x 35FFRA1156Ruggedized, flip-chip, fine-pitchRFA1156FFVA1517Flip-chip, fine-pitch40x 40FFVC1517FFVD1517FFVE1517FFRC1517Ruggedized, flip-chip, fine-pitch FFRE1517RLD1517Ruggedized, SSI, flip-chip, fine-pitch FLVA1517SSI, flip-chip, fine-pitchFLVD1517MGTAVTT_[L or R][N, UC, C, LC, or S](5)Dedicated Input Analog power-supply pin for the transmit driver.MGTVCCAUX_[L or R][N, UC, C, LC, or S](5)Dedicated Input Auxiliary analog Quad PLL (QPLL) voltage supply for the transceivers.MGTREFCLK[0 or 1][P or N]Dedicated Input Differential reference clock for the transceivers.MGTAVTTRCAL_[L or R][N, UC, C, LC, or S](5)Dedicated N/A Precision reference resistor pin for internal calibration termination.MGTRREF_[L or R][N, UC, C, LC, or S](5)DedicatedInputPrecision reference resistor pin for internal calibration termination.Table 1-5:Pin Definitions (Cont’d)Pin NameTypeDirectionDescription。
FPGA可编程逻辑器件芯片XC6VLX365T-1FFG1156I中文规格书
IntroductionThe Spartan®-3 family of Field-Programmable Gate Arrays is specifically designed to meet the needs of high volume, cost-sensitive consumer electronic applications. Theeight-member family offers densities ranging from 50,000 to 5,000,000 system gates, as shown in Table 1.The Spartan-3 family builds on the success of the earlier Spartan-IIE family by increasing the amount of logicresources, the capacity of internal RAM, the total number of I/Os, and the overall level of performance as well as by improving clock management functions. Numerous enhancements derive from the Virtex®-II platform technology. These Spartan-3 FPGA enhancements,combined with advanced process technology, deliver more functionality and bandwidth per dollar than was previously possible, setting new standards in the programmable logic industry.Because of their exceptionally low cost, Spartan-3 FPGAs are ideally suited to a wide range of consumer electronics applications, including broadband access, home networking, display/projection and digital television equipment.The Spartan-3 family is a superior alternative to mask programmed ASICs. FPGAs avoid the high initial cost, the lengthy development cycles, and the inherent inflexibility of conventional ASICs. Also, FPGA programmability permits design upgrades in the field with no hardware replacement necessary, an impossibility with ASICs.Features•Low-cost, high-performance logic solution for high-volume,consumer-oriented applications •Densities up to 74,880 logic cells •SelectIO™ interface signaling •Up to 633 I/O pins •622+ Mb/s data transfer rate per I/O •18 single-ended signal standards •8 differential I/O standards including LVDS, RSDS •Termination by Digitally Controlled Impedance •Signal swing ranging from 1.14V to 3.465V •Double Data Rate (DDR) support •DDR, DDR2 SDRAM support up to 333Mb/s •Logic resources •Abundant logic cells with shift register capability •Wide, fast multiplexers •Fast look-ahead carry logic •Dedicated 18 x 18 multipliers •JT AG logic compatible with IEEE 1149.1/1532•SelectRAM™ hierarchical memory •Up to 1,872 Kbits of total block RAM •Up to 520 Kbits of total distributed RAM •Digital Clock Manager (up to four DCMs)•Clock skew elimination •Frequency synthesis •High resolution phase shifting•Eight global clock lines and abundant routing•Fully supported by Xilinx ISE ® and WebP ACK ™ software development systems•MicroBlaze ™ and PicoBlaze ™ processor, PCI ®,PCI Express® PIPE Endpoint , and other IP cores •Pb-free packaging options•Automotive Spartan-3 XA Family variantDS099 (v3.1) June 27, 2013Product SpecificationTable 1:Summary of Spartan-3 FPGA AttributesDeviceSystem Gates EquivalentLogic Cells (1)CLB Array(One CLB = Four Slices)Distributed RAM Bits (K=1024)BlockRAM Bits (K=1024)DedicatedMultipliersDCMsMax.User I/OMaximumDifferentialI/O Pairs Rows ColumnsTotalCLBs XC3S50(2)50K 1,728161219212K 72K 4212456XC3S200(2)200K 4,320242048030K 216K 12417376XC3S400(2)400K 8,064322889656K 288K 164264116XC3S1000(2)1M 17,28048401,920120K 432K 244391175XC3S1500 1.5M 29,95264523,328208K 576K 324487221XC3S20002M 46,08080645,120320K 720K 404565270XC3S40004M 62,20896726,912432K 1,728K 964633300XC3S50005M74,880104808,320520K1,872K1044633300Notes:1.Logic Cell = 4-input Look-Up T able (LUT) plus a ‘D’ flip-flop. "Equivalent Logic Cells" equals "T otal CLBs" x 8 Logic Cells/CLB x 1.125 effectiveness.2.These devices are available in Xilinx Automotive versions as described in DS314: Spartan-3 Automotive XA FPGA Family .Revision HistoryTable 4:Example Ordering InformationDeviceSpeed GradePackage Type/Number of PinsTemperature Range (T j )XC3S50-4Standard Performance VQ(G)100100-pin Very Thin Quad Flat Pack (VQFP)C Commercial (0°C to 85°C)XC3S200-5High Performance (1)CP(G)132(2)132-pin Chip-Scale Package (CSP)IIndustrial (–40°C to 100°C)XC3S400TQ(G)144144-pin Thin Quad Flat Pack (TQFP)XC3S1000PQ(G)208208-pin Plastic Quad Flat Pack (PQFP)XC3S1500FT(G)256256-ball Fine-Pitch Thin Ball Grid Array (FTBGA)XC3S2000FG(G)320320-ball Fine-Pitch Ball Grid Array (FBGA)XC3S4000FG(G)456456-ball Fine-Pitch Ball Grid Array (FBGA)XC3S5000FG(G)676676-ball Fine-Pitch Ball Grid Array (FBGA)FG(G)900900-ball Fine-Pitch Ball Grid Array (FBGA)FG(G)1156(2)1156-ball Fine-Pitch Ball Grid Array (FBGA)Notes:Date Version Description04/11/2003 1.0Initial Xilinx release.04/24/2003 1.1Updated block RAM, DCM, and multiplier counts for the XC3S50.12/24/2003 1.2Added the FG320 package.07/13/2004 1.3Added information on Pb-free packaging options.01/17/20051.4Referenced Spartan-3 XA Automotive FPGA families in Table 1. Added XC3S50CP132,XC3S2000FG456, XC3S4000FG676 options to T able 3. Updated Package Marking to show mask revision code, fabrication facility code, and process technology code.08/19/2005 1.5Added package markings for BGA packages (Figure 3) and CP132/CPG132 packages (Figure 4). Added differential (complementary single-ended) HSTL and SSTL I/O standards.04/03/2006 2.0Increased number of supported single-ended and differential I/O standards.04/26/2006 2.1Updated document links.05/25/2007 2.2Updated Package Marking to allow for dual-marking.11/30/2007 2.3Added XC3S5000 FG(G)676 to Table 3. Noted that FG(G)1156 package is being discontinued and updated max I/O count.06/25/2008 2.4Updated max I/O counts based on FG1156 discontinuation. Clarified dual mark in Package Marking . Updated formatting and links.12/04/2009 2.5CP132 and CPG132 packages are being discontinued. Added link to Spartan-3 FPGA customer notices. Updated Table 3 with package footprint dimensions.10/29/20123.0Added Notice of Disclaimer section. Per XCN07022, updated the discontinued FG1156 and FGG1156 package discussion throughout document. Per XCN08011, updated the discontinued CP132 and CPG132 package discussion throughout document. Although the package is discontinued, updated the marking on Figure 4. This product is not recommended for new designs.06/27/2013 3.1Removed banner. This product IS recommended for new designs.Figure 7:Simplified IOB DiagramAccording to Figure 7, the clock line OTCLK1 connects the CK inputs of the upper registers on the output and three-state paths. Similarly, OTCLK2 connects the CK inputs for the lower registers on the output and three-state paths. The upper and lower registers on the input path have independent clock lines: ICLK1 and ICLK2. The enable line OCE connects the CE inputs of the upper and lower registers on the output path. Similarly, TCE connects the CE inputs for the register pair on the three-state path and ICE does the same for the register pair on the input path. The Set/Reset (SR) line entering the IOB is common to all six registers, as is the Reverse (REV) line.Each storage element supports numerous options in addition to the control over signal polarity described in the IOB Overview section. These are described in Table 6.Double-Data-Rate TransmissionDouble-Data-Rate (DDR) transmission describes the technique of synchronizing signals to both the rising and falling edges of the clock signal. Spartan-3 devices use register-pairs in all three IOB paths to perform DDR operations.The pair of storage elements on the IOB’s Output path (OFF1 and OFF2), used as registers, combine with a special multiplexer to form a DDR D-type flip-flop (FDDR). This primitive permits DDR transmission where output data bits are synchronized to both the rising and falling edges of a clock. It is possible to access this function by placing either an FDDRRSE or an FDDRCPE component or symbol into the design. DDR operation requires two clock signals (50% duty cycle), one the inverted form of the other. These signals trigger the two registers in alternating fashion, as shown in Figure 8. Commonly, the Digital Clock Manager (DCM) generates the two clock signals by mirroring an incoming signal, then shifting it 180 degrees. This approach ensures minimal skew between the two signals.The storage-element-pair on the Three-State path (TFF1 and TFF2) can also be combined with a local multiplexer to form an FDDR primitive. This permits synchronizing the output enable to both the rising and falling edges of a clock. This DDR operation is realized in the same way as for the output path.The storage-element-pair on the input path (IFF1 and IFF2) allows an I/O to receive a DDR signal. An incoming DDR clock signal triggers one register and the inverted clock signal triggers the other register. In this way, the registers take turns capturing bits of the incoming DDR data signal.Table 6:Storage Element OptionsOption Switch FunctionSpecificityFF/Latch Chooses between an edge-sensitive flip-flop or a level-sensitive latchIndependent for each storage element.SYNC/ASYNC Determines whether SR is synchronous or asynchronousIndependent for each storage element.SRHIGH/SRLOWDetermines whether SR acts as a Set, which forces the storage element to a logic “1" (SRHIGH) or a Reset, which forces a logic “0” (SRLOW).Independent for each storage element, except when using FDDR. In the latter case, the selection for the upper element (OFF1 or TFF2) applies to both elements.INIT1/INIT0In the event of a Global Set/Reset, after configuration or upon activation of the GSR net, this switch decides whether to set or reset a storage element. By default, choosing SRLOW also selects INIT0; choosing SRHIGH also selects INIT1.Independent for each storage element, except when using FDDR. In the latter case, selecting INIT0 for one element applies to both elements (even though INIT1 is selected for the other).。
FPGA可编程逻辑器件芯片XCKU040-2FFVA1156I中文规格书
Table 1-1:Package SpecificationsPackages (1)DescriptionPackage SpecificationsPackage Type Pitch (mm)Size (mm)FBVA676Bare-die, flip-chip, fine-pitch BGA1.027x 27FFVA676Flip-chip, fine-pitchFFVB676FFRB676Ruggedized, flip-chip, fine-pitch RBA676SFVA784Flip-chip, super-fine-pitch0.823x 23SFVB784SFRB784Ruggedized, flip-chip, super-fine pitch FBVA900Bare-die, flip-chip, fine-pitch 1.031x 31FFVD900Flip-chip, fine-pitch FFVE900FFVA1156Flip-chip, fine-pitch35x 35FFRA1156Ruggedized, flip-chip, fine-pitchRFA1156FFVA1517Flip-chip, fine-pitch40x 40FFVC1517FFVD1517FFVE1517FFRC1517Ruggedized, flip-chip, fine-pitch FFRE1517RLD1517Ruggedized, SSI, flip-chip, fine-pitch FLVA1517SSI, flip-chip, fine-pitchFLVD1517找FPGA 和CPLD 可编程逻辑器件,上深圳宇航军工半导体有限公司Gigabit Transceiver Channels by Device/PackageTable 1-2 lists the quantity of gigabit transceiver channels for the UltraScale andUltraScale+ devices. In all devices, a gigabit transceiver channel is one set of MGTRXP, MGTRXN, MGTTXP, and MGTTXN pins. For transceiver data rate limitations on specific device/package combinations, see the specific UltraScale and UltraScale+ device data sheets [Ref 4].FSVA3824SSI, flip-chip, fine-pitch, lidless with stiffener ring BGA 1.065x 65FSVB3824SSI, flip-chip, fine-pitch, lidless with stiffener ringBGA1.065x 65Notes:1.FFV, FLV, and FLG packages are footprint compatible when the package code letter designator and pin count are identical.See UltraScale Architecture and Product Overview (DS890) [Ref 1] for specific letter codes and ordering code information.2.These 52.5x 52.5 packages have the same PCB ball footprint as the 47.5x 47.5 packages and are footprint compatible.Table 1-1:Package Specifications (Cont’d)Packages (1)DescriptionPackage SpecificationsPackage Type Pitch (mm)Size (mm)Table 1-2:Serial Transceiver Channels (GTH/GTY) by Device/PackageDevicePackageGTH ChannelsGTY ChannelsKintex UltraScale DevicesXCKU035FBVA676160XCKU040160XCKU035SFVA78480XCKU04080XCKU035FBVA900160XCKU040160XCKU025FFVA1156120XCKU035160XCKU040200XCKU060280XCKU095208XCKU060FFVA1517320XCKU085FLVA1517480XCKU115480XCKU095FFVC15172020XCKU115FLVD1517640XCKU095FFVB17603216DBCQBC Multi-functionInputByte lane clock (DBC and QBC) input pin pairs are clock inputs directly driving source synchronous clocks to the bit slices in the I/O banks. In memory applications, these are also known as DQS. For more information, consult the UltraScale Architecture SelectIO Resources User Guide (UG571) [Ref 5].PERSTN[0 to 1]Multi-functionInputDefault reset pin locations for the integrated block for PCI Express.Pin NameTypeDirectionDescriptionMGTAVTT_[L or R][N, UC, C, LC, or S](5)Dedicated Input Analog power-supply pin for the transmit driver.MGTVCCAUX_[L or R][N, UC, C, LC, or S](5)Dedicated Input Auxiliary analog Quad PLL (QPLL) voltage supply for the transceivers.MGTREFCLK[0 or 1][P or N]Dedicated Input Differential reference clock for the transceivers.MGTAVTTRCAL_[L or R][N, UC, C, LC, or S](5)Dedicated N/A Precision reference resistor pin for internal calibration termination.MGTRREF_[L or R][N, UC, C, LC, or S](5)DedicatedInputPrecision reference resistor pin for internal calibration termination.Notes:Pin NameTypeDirectionDescription。
FPGA可编程逻辑器件芯片XQ6VSX315T-1FFG1156I中文规格书
IntroductionThank you for designing with the Xilinx Virtex®-6 family of devices. Although Xilinx has made every effort to ensure the highest possible quality, the devices listed in Table 1 are subject to the limitations described in the following errata.DevicesThese errata apply to the devices shown in Table 1.Hardware Errata DetailsThis section provides a detailed description of each hardware issue known at the release time of this document.MMCMRestriction of Frequency Range for Bandwidth = HIGH or OPTIMIZEDWhen the Phase Frequency Detector (PFD) frequency (FIN/D) is lower than 135MHz and the BANDWIDTH attribute of the MMCM is set to HIGH or OPTIMIZED, a phase error between MMCM output clocks can occur, making the output clock signals invalid. This condition can also cause the fractional output counter to fail.The ISE® software v12.4 and later provides appropriate warnings for possible violations of this restriction.The ISE software v12.4 and later correctly handles designs set to OPTIMIZED bandwidth for all valid PFD frequencies.This issue will not be fixed in the devices listed in Table 1.Work-aroundPFD frequencies lower than 135MHz must use LOW bandwidth mode to ensure correct operation. See Answer Record 38132 for more information.Virtex-6 FPGA -1L Speed GradeLX75T, LX130T, LX195T, LX240T, LX365T,LX550T, LX760, SX315T, and SX475TProduction ErrataEN154 (v1.5) April 11, 2011Errata NotificationTable 1:Devices Affected by These ErrataDevicesXC6VLX75T JTAG ID (Revision Code): 4, 6XC6VLX130T JTAG ID (Revision Code): 4, 6XC6VLX195T JTAG ID (Revision Code): 4, 6XC6VLX240T JTAG ID (Revision Code): 4, 6XC6VLX365T JTAG ID (Revision Code): 0, 2XC6VLX550T JTAG ID (Revision Code): 0, 2XC6VLX760JTAG ID (Revision Code): 4, 6XC6VSX315T JTAG ID (Revision Code): 4, 6XC6VSX475TJTAG ID (Revision Code): 4, 6Packages All Speed Grades-1LRestriction of Clock Divider ValuesThe input clock divider (DIVCLK_DIVIDE) cannot have a value of 3 or 4 when the input clock frequency (F IN) of the MMCM is above 315MHz.The ISE software v12.4 and later provides appropriate warnings for possible violations of this restriction.This issue will not be fixed in the devices listed in Table1.Work-aroundIn all designs in which F IN is above 315MHz and DIVCLK_DIVIDE is set to 3 or 4, double the CLKFBOUT_MULT_F and DIVCLK_DIVIDE values. See Answer Record 38133 for more information.Block RAMDual Port Block RAM Address Overlap in READ_FIRST and Simple Dual Port ModeWhen using the block RAM in True Dual Port (TDP) Read_First mode, Simple Dual Port (SDP) mode, or ECC mode with different clocks on ports A and B, the user must ensure certain addresses do not occur simultaneously on both ports when both ports are enabled and one port is being written to. Failure to observe this restriction can result in read and/or memory array corruption.The description is found in the Conflict Avoidance section in v1.3.1 (or later) of UG363, Virtex-6 FPGA Memory Resources User Guide.This description was originally added in UG363 (v1.1), published 9/16/09. This errata is being provided to highlight this change and ensure that all users are aware of this design restriction. The ISE v12.1 software and later provides appropriate warnings for possible violations of these restrictions.This issue will not be fixed in the devices listed in Table1.Work-aroundThe recommended work-around is to configure the block RAM in WRITE_FIRST mode. WRITE_FIRST mode is available in block RAMs configured in TDP mode in all ISE software versions. WRITE_FIRST mode is available in block RAMs configured in SDP mode from ISE v12.2 and later. See Answer Record 34859.Synchronous Built-in FIFOWhen using the Built-In FIFO as a Synchronous FIFO (EN_SYN=TRUE) with asynchronous reset, correct behavior of the FIFO flags cannot be guaranteed after the first write.All configurations other than EN_SYN=TRUE are not affected by this issue.Work-aroundsTo work around this issue, synchronize the negative edge of reset to RDCLK/WRCLK.For more information and additional work-arounds see Answer Record 41099.ConfigurationPROGRAM_B Pin Behavior During Power-OnHolding the PROGRAM_B input statically Low prior to the completion of the power-on reset does not hold the FPGA in configuration reset. Instead, the FPGA proceeds with its standard power-on configuration sequence.This issue will not be fixed in the devices listed in Table1.Work-aroundFor systems that need to delay the FPGA configuration sequence at power-on, hold the INIT_B pin Low.See Answer Record 38134 for more information.RXRECCLK Static Operating BehaviorThe RXRECCLK output port might operate at reduced frequency in buffer bypass mode if conditions (1) and (2) persist for more than 15,000 cumulative hours at 65°C Tj, 2,500 cumulative hours at 85°C Tj, or 800 cumulative hours at 100°C Tj:1.Power has been applied to V CCINT.2.The device is in one of the following states:a.The FPGA is not configuredb.The FPGA is configured, but the transceiver is uninstantiatedc.The transceiver is instantiated, but no reference clock is togglingd.The transceiver is instantiated, but is held in reset or power-downWork-aroundTransceivers Uninstantiated in User Design but are Planned to be Used in the FutureFor transceivers that are not instantiated in the user design but are planned to be used in the future, power must be applied to MGTAVCC, and the user design must be implemented using ISE v12.1 (or later) software for automatic insertion of the work-around circuit.Transceivers Uninstantiated in User Design but are Not Planned to be Used in the FutureAutomatic insertion of the work-around circuit can be disabled for uninstantiated transceivers that will not beused..Date Version Description07/30/10 1.0Initial Xilinx release.09/21/10 1.1Added the LX75T device to the document, which includes an update to T able1. Added System Monitor Maximum DCLK Frequency. Updated System Monitor Internal Reference Voltage.11/16/10 1.2Updated JT AG ID Revision Codes in Table1. Added Restriction of Frequency Range for Bandwidth = HIGH or OPTIMIZED, Restriction of Clock Divider Values, PROGRAM_B Pin Behavior During Power-On,Configuration Switching Characteristics, and GTX T ransceiver Initialization for Proper TXOUTCLKFunctionality.12/23/10 1.3Added the following devices to the document, including an update to Table1: LX365T, LX550T, LX760, SX315T, and SX475T.01/17/11 1.4Updated TXOUTCLK and RXRECCLK Static Operating Behavior; no longer applicable to TXOUTCLK.Added GTX T ransceiver Delay Aligner per Xilinx Customer Notice XCN11009.04/11/11 1.5Added Synchronous Built-in FIFO and Input Logic Resets Using GSR.。
FPGA可编程逻辑器件芯片XQ6VLX240T-L1RF1156I中文规格书
XAPP378 (v1.2) June 5, 2005Using CoolRunner-II Advanced FeaturesTable 6 illustrates the attribute syntax to assign the Schmitt trigger input buffer to a specific signal.I/O Termination CoolRunner-II pins may be terminated in the following ways: keeper (also referred to asbushold) and pullup. Usage of the keeper and the pullup circuitry is exclusive on a global basis. When one of these two (keeper and pullup) termination modes is selected for any number of signals, the other termination mode is no longer available to any other signal.KeeperThe keeper circuitry provides the ability to hold the last known value on an I/O pin using weak pullup/down resistors. If an unterminated I/O pin was in high-impedence and floating, this would cause excessive leakage current. The keeper circuitry eliminates the need for external termination that would resolve this. Table 7 illustrates the attribute syntax for specifying the keeper termination on any I/O pin.Table 6: Schmitt Trigger Attribute AttributeFormatSyntax Example UCFNET <signal name> SCHMITT_TRIGGER;NET data_in SCHMITT_TRIGGER;NET clock SCHMITT_TRIGGER;ABELXILINX PROPERTY 'SCHMITT_TRIGGER <signal name>';XILINX PROPERTY 'SCHMITT_TRIGGER data_in';XILINX PROPERTY 'SCHMITT_TRIGGER clock';VHDL attribute SCHMITT_TRIGGER : STRING;attribute SCHMITT_TRIGGER of <signal name>:signal is "TRUE";Note: The string attribute need only be declaredonce for all SCHMITT_TRIGGER attributes.attribute SCHMITT_TRIGGER : STRING;attribute SCHMITT_TRIGGER of data_in: signal is "TRUE";attribute SCHMITT_TRIGGER of clock: signal is "TRUE";Verilog//SYNTHESIS attribute SCHMITT_TRIGGER of<signal name>;Note: The comment delimiters are intentional andnecessary for XST.//SYNTHESIS attribute SCHMITT_TRIGGER of data_in;//SYNTHESIS attribute SCHMITT_TRIGGER of clock;Table 7: Keeper AttributeAttributeFormatSyntax Example UCFNET <signal name> KEEPER;NET data_in KEEPER;NET clock KEEPER;ABELXILINX PROPERTY 'KEEPER <signal name>';XILINX PROPERTY 'KEEPER data_in';XILINX PROPERTY 'KEEPER clock';VHDL attribute KEEPER : STRING;attribute KEEPER of <signal name>: signal is "TRUE";Note: The string attribute need only be declared once forall KEEPER attributes.attribute KEEPER : STRING;attribute KEEPER of data_in: signal is "TRUE";attribute KEEPER of clock: signal is "TRUE";Verilog//SYNTHESIS attribute KEEPER of <signal name>;Note: The comment delimiters are intentional andnecessary for XST.//SYNTHESIS attribute KEEPER of data_in;//SYNTHESIS attribute KEEPER of clock;White Paper: The Real Value of CoolRunner-II DataGATEWP227 (v1.1) June 29, 2005Why? This is primarily because current drawn through the I/Os is difficult for manufacturers to determine because it is dependent upon too many external variables (capacitive loading, frequency, current requirements, input rise time, and so on). In addition, as V CCIO current can be significant (so significant that it can invalidate a device’s low power message), most manufacturers have tended to avoid it.Let’s examine the effect of simply switching a few inputs. This time, instead of looking at V CCINT, let’s look at V CCIO. How much current does that draw, and, can DataGATE do anything to reduce V CCIO current?As can be seen in Table2, this V CCIO current can be quite large. However, with DataGATE asserted, the CoolRunner XC2C128 device can essentially shut down the internal I/O buffers and accomplish 99% power savings on the V CCIO rail. Figure5, Figure6, Figure7, and Figure8 show V CCIO current savings versus input switching frequency.Figure 5:V CCIO Current Savings, Single Input SwitchingT able 2:Current Drawn on V CCIO from Switching Inputs with/without DataGATE at 50 MHzCurrent Drawn on V CCIO (mA)Inputs Switching No DataGATE With DataGATE Savings 0000%18.580.0599%214.860.1199%425.950.2299%843.820.4499%。
FPGA可编程逻辑器件芯片XCKU060-2FFAV1156I中文规格书
Pin DefinitionsTable1-5 lists the pin definitions used in UltraScale and UltraScale+ device packages.Table 1-5:Pin DefinitionsPin Name Type Direction DescriptionUser I/O PinsIO_L[1to24][P or N]_T[0to3] [U or L]_N[0to12]_ [multi-function]_[bank number] orIO_T[0to3][U or L]_N[0to12]_[multi-function]_[bank number]Dedicated Input/Output Most user I/O pins are capable of differential signaling and can be implemented as pairs. Each user I/O pin name consists of several indicator labels, where:•IO indicates a user I/O pin.•L[1to24] indicates a unique differential pair with P (positive) and N (negative) sides. User I/O pins without the L indicator are single-ended.•T[0 to 3][U or L] indicates the assigned byte group and nibble location (upper or lower portion) within that group for the pin.•N[0 to 12] the number of the I/O within its byte group.•[multi-function] indicates any other functions that the pin can provide. If not used for this function, the pin can be a user I/O.•[bank number] indicates the assigned bank for the user I/O pin.User I/O Multi-Function PinsGC or HDGC Multi-function Input/OutputFour global clock (GC) pin pairs are in each bank. HDGCpins have direct access to the global clock buffers. GC pinshave direct access to the global clock buffers, MMCMs,and PLLs that are in the clock management tile (CMT)adjacent to the same I/O bank. GC and HDGC inputsprovide dedicated, high-speed access to the internalglobal and regional clock resources. GC and HDGC inputsuse dedicated routing and must be used for clock inputswhere the timing of various clocking features isimperative. GC or HDGC pins can be treated as user I/Owhen not used as input clocks.Up-to-date information about designing with the GC(or HDGC) pin is available in the UltraScale ArchitectureClocking Resources User Guide (UG572) [Ref6].VRP(1)Multi-function N/A This pin is for the DCI voltage reference resistor of P transistor (per bank, to be pulled Low with a reference resistor).CFGBVS_0Dedicated Input Bank 0 and bank 65 voltage select. This pin determines the I/O voltage operating range and voltage tolerance for the dedicated configuration bank 0 and multi-function bank 65. Connect CFGBVS High or Low per the bank voltage requirements.•V CCO_0=2.5V or 3.3V, tie CFGBVS High (connect to V CCO_0).•V CCO_0=1.5V or 1.8V, tie CFGBVS Low (connect to GND)CAUTION!To avoid device damage, this pin must be connected correctly to either V CCO_0 or GND.PUDC_B_0Dedicated InputActive-Low input enables internal pull-ups during configuration on all SelectIO pins: 0=Weak preconfiguration I/O pull-up resistors enabled.1=Weak preconfiguration I/O pull-up resistors disabled.POR_OVERRIDE Dedicated Input All configuration modesPower-on reset delay override.CAUTION!Do not allow this pin to float before and during configuration. This pin must be tied to V CCINT orGND. Do not connect to V CCO_0.Information about designing with the POR_OVERRIDE pin is available in the UltraScale Architecture Configuration User Guide (UG570) [Ref 7].DONE_0Dedicated Bidirectional Active-High, DONE indicates successful completion of configuration.PROGRAM_B_0Dedicated Input Active Low, asynchronous reset to configuration logic.TDO_0Dedicated Output JTAG test data output.TDI_0Dedicated Input JTAG test data input.RDWR_FCS_B_0Dedicated Input/Output Input control signal for SelectMAP data bus direction: High for reading or Low for writing configuration data.Or, active-Low flash chip-select output.TMS_0Dedicated Input JTAG test mode data select.TCK_0Dedicated Input JTAG test clock CCLK_0Dedicated Input/Output Configuration clock. Output in Master mode or input in Slave mode.D00_MOSI_0Dedicated Bidirectional Data Bit 0 or SPI master-output D01_DIN_0Dedicated Bidirectional Data Bit 1 or serial mode data input D02_0Dedicated Bidirectional Data Bit 2D03_0Dedicated Bidirectional Data Bit 3Table 1-5:Pin Definitions (Cont’d)Pin Name Type Direction DescriptionMGTAVTT_[L or R][N, UC, C, LC, or S](5)Dedicated Input Analog power-supply pin for the transmit driver.MGTVCCAUX_[L or R][N, UC, C, LC, or S](5)Dedicated Input Auxiliary analog Quad PLL (QPLL) voltage supply for the transceivers.MGTREFCLK[0 or 1][P or N]Dedicated Input Differential reference clock for the transceivers.MGTAVTTRCAL_[L or R][N, UC, C, LC, or S](5)Dedicated N/A Precision reference resistor pin for internal calibration termination.MGTRREF_[L or R][N, UC, C, LC, or S](5)Dedicated Input Precision reference resistor pin for internal calibration termination.Pin Name Type Direction Description。
FPGA可编程逻辑器件芯片XCKU040-L1FFVA1156I中文规格书
Spartan and Spartan-XL FPGA Families Data SheetDS060 (v2.0) March 1, 2013Product SpecificationSpartan Family Pin-to-Pin Output Parameter GuidelinesAll devices are 100% functionally tested. Pin-to-pin timingparameters are derived from measuring external and inter-nal test patterns and are guaranteed over worst-case oper-ating conditions (supply voltage and junction temperature).Listed below are representative values for typical pin loca-tions and normal clock loading. For more specific, more pre-cise, and worst-case guaranteed data, reflecting the actual routing structure, use the values provided by the static tim-ing analyzer (TRCE in the Xilinx Development System) and back-annotated to the simulation netlist. These path delays,provided as a guideline, have been extracted from the static timing analyzer report.Spartan Family Output Flip-Flop, Clock-to-Out Symbol DescriptionDevice Speed GradeUnits -4-3Max Max Global Primary Clock to TTL Output using OFFT ICKOF Fast XCS055.38.7ns XCS105.79.1ns XCS206.19.3ns XCS306.59.4ns XCS406.810.2ns T ICKO Slew-rate limited XCS059.011.5ns XCS109.412.0ns XCS209.812.2ns XCS3010.212.8ns XCS4010.512.8ns Global Secondary Clock to TTL Output using OFF T ICKSOF Fast XCS05 5.89.2ns XCS10 6.29.6ns XCS20 6.69.8ns XCS307.09.9ns XCS407.310.7ns T ICKSO Slew-rate limited XCS059.512.0ns XCS109.912.5ns XCS2010.312.7ns XCS3010.713.2ns XCS4011.014.3ns Delay Adder for CMOS Outputs Option T CMOSOF Fast All devices 0.8 1.0ns T CMOSO Slew-rate limited All devices1.52.0ns Notes:1.Listed above are representative values where one global clock input drives one vertical clock line in each accessible column,and where all accessible IOB and CLB flip-flops are clocked by the global clock net.2.Output timing is measured at ~50% V CC threshold with 50 pF external capacitive load. For different loads, see Figure 34.3.OFF = Output Flip-FlopDS060 (v2.0) March 1, 2013Product Specification Spartan Family IOB Input Switching Characteristic GuidelinesAll devices are 100% functionally tested. Internal timingparameters are derived from measuring internal test pat-terns. Listed below are representative values. For morespecific, more precise, and worst-case guaranteed data,use the values reported by the static timing analyzer (TRCE in the Xilinx Development System) and back-annotated to the simulation netlist. These path delays, provided as a guideline, have been extracted from the static timing ana-lyzer report. All timing parameters assume worst-case oper-ating conditions (supply voltage and junction temperature).SymbolDescription Device Speed GradeUnits -4-3Min MaxMin Max Setup Times - TTL Inputs (1)T ECIK Clock Enable (EC) to Clock (IK), no delay All devices 1.6-2.1-ns T PICK Pad to Clock (IK), no delay All devices 1.5-2.0-ns Hold Times T IKEC Clock Enable (EC) to Clock (IK), no delay All devices 0.0-0.9-ns All Other Hold Times All devices 0.0-0.0-ns Propagation Delays - TTL Inputs (1)T PID Pad to I1, I2All devices - 1.5- 2.0ns T PLI Pad to I1, I2 via transparent input latch, no delay All devices - 2.8- 3.6ns T IKRI Clock (IK) to I1, I2 (flip-flop)All devices - 2.7- 2.8ns T IKLI Clock (IK) to I1, I2 (latch enable, active Low)All devices - 3.2- 3.9ns Delay Adder for Input with Delay Option T Delay T ECIKD = T ECIK + T Delay T PICKD = T PICK + T Delay T PDLI = T PLI + T Delay XCS05 3.6-4.0-ns XCS10 3.7-4.1-ns XCS20 3.8-4.2-ns XCS30 4.5-5.0-ns XCS40 5.5-5.5-ns Global Set/Reset T MRW Minimum GSR pulse width All devices 11.5-13.5-ns T RRI Delay from GSR input to any Q XCS05-9.0-11.3ns XCS10-9.5-11.9ns XCS20-10.0-12.5ns XCS30-10.5-13.1ns XCS40-11.0-13.8ns Notes:1.Delay adder for CMOS Inputs option: for -3 speed grade, add 0.4 ns; for -4 speed grade, add 0.2 ns.2.Input pad setup and hold times are specified with respect to the internal clock (IK). For setup and hold times with respect to the clock input, see the pin-to-pin parameters in the Pin-to-Pin Input Parameters table.3.Voltage levels of unused pads, bonded or unbonded, must be valid logic levels. Each can be configured with the internal pull-up (default) or pull-down resistor, or configured as a driven output, or can be driven from an external source.。
FPGA可编程逻辑器件芯片XCKU025-2FFVA1156I中文规格书
Virtex™-E 1.8 V Field Programmable Gate ArraysDS022-2 (v3.0) March 21, 2014Production Product SpecificationUseful Application ExamplesThe Virtex-E DLL can be used in a variety of creative and useful applications. The following examples show some of the more common applications. The Verilog and VHDL example files are available Standard Usage The circuit shown in Figure 27 resembles the B UFGDLL macro implemented to provide access to the RST andLOCKED pins of the CLKDLL.Board Level Deskew of Multiple Non-Virtex-E DevicesThe circuit shown in Figure 28 can be used to deskew a system clock between a Virtex-E chip and other non-Vir-tex-E chips on the same board. This application is com-monly used when the Virtex-E device is used in conjunction with other standard products such as SRAM or DRAM devices. While designing the board level route, ensure that the return net delay to the source equals the delay to the other chips involved.Board-level deskew is not required for low-fanout clock net-works. It is recommended for systems that have fanout lim-itations on the clock network, or if the clock distribution chip cannot handle the load.Do not use the DLL output clock signals until after activation of the LOCKED signal. Prior to the activation of theLOCKED signal, the DLL output clocks are not valid and can exhibit glitches, spikes, or other spurious movement.The dll_mirror_1 files in the xapp132.zip file show the VHDL and Verilog implementation of this circuit.Deskew of Clock and Its 2x MultipleThe circuit shown in Figure 29 implements a 2x clock multi-plier and also uses the CLK0 clock output with a zero ns skew between registers on the same chip. Alternatively, a clock divider circuit can be implemented using similar con-nections.Figure 27: Standard DLL ImplementationFigure 28: DLL Deskew of Board Level Clock Figure 29: DLL Deskew of Clock and 2x MultipleDS022-4 (v3.0) March 21, 2014Production Product SpecificationHQ240 High-Heat Quad Flat-Pack Packages XCV600E and XCV1000E devices in High-heat dissipation Quad Flat-pack packages have footprint compatibility. Pins labeled I0_VREF can be used as either in all parts unless device-dependent as indicated in the footnotes. If the pin is not used as V REF , it can be used as general I/O. Immedi-ately following Table 8, see Table 9 for Differential Pair infor-mation.486P56P57√-496P52P532-506P49P503VREF 516P46P474VREF 526P41P42√-536P38P392-546P35P364VREF 556P33P345VREF 567P27P28√-577P23P244VREF 587P20P212-597P17P18√-607P12P134VREF 617P9P103VREF 627P6P72-637P4P56VREF Notes: 1.AO in the XCV50E.2.AO in the XCV50E, 100E, 200E, 300E.3.AO in the XCV50E, 200E, 300E, 400E.4.AO in the XCV50E, 300E, 400E.5.AO in the XCV100E, 200E, 400E.6.AO in the XCV100E, 400E.7.AO in the XCV50E, 200E, 400E.8.AO in the XCV100E.Table 7: PQ240 Differential Pin Pair Summary XCV50E, XCV100E, XCV200E, XCV300E, XCV400EPairBank P Pin N Pin AO Other Functions Table 8: HQ240 — XCV600E, XCV1000E Pin #Pin Description Bank P240VCCO 7P239TCK NA P238IO 0P237IO_L0N 0P236IO_VREF_L0P 0P235IO_L1N_YY 0P234IO_L1P_YY 0P233GND NA P232VCCO 0P231IO_VREF 0P230IO_VREF 0P229IO_VREF_L2N_YY 0P228IO_L2P_YY 0P227GND NA P226VCCO 0P225VCCINT NA P224IO_L3N_YY 0P223IO_L3P_YY 0P222IO_VREF 01P221IO_L4N_Y 0P220IO_L4P_Y 0P219GND NA P218IO_VREF_L5N_Y 0P217IO_L5P_Y 0P216IO_VREF 0P215IO_LVDS_DLL_L6N 0P214VCCINT NA P213GCK30P212VCCO 0P211GND NA。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
Zynq-7000 SoC First Generation ArchitectureThe Zynq®-7000 family is based on the Xilinx SoC architecture. These products integrate a feature-rich dual-core or single-core ARM® Cortex™-A9 based processing system (PS) and 28nm Xilinx programmable logic (PL) in a single device. The ARM Cortex-A9 CPUs are the heart of the PS and also include on-chip memory, external memory interfaces, and a rich set of peripheral connectivity interfaces. Processing System (PS)ARM Cortex-A9 BasedApplication Processor Unit (APU)• 2.5 DMIPS/MHz per CPU•CPU frequency: Up to 1GHz•Coherent multiprocessor support•ARMv7-A architecture•TrustZone® security•Thumb®-2 instruction set•Jazelle® RCT execution Environment Architecture•NEON™ media-processing engine•Single and double precision Vector Floating Point Unit (VFPU)•CoreSight™ and Program Trace Macrocell (PTM)•Timer and Interrupts•Three watchdog timers•One global timer•Two triple-timer countersCaches•32KB Level1 4-way set-associative instruction and data caches (independent for each CPU)•512KB 8-way set-associative Level2 cache(shared between the CPUs)•Byte-parity supportOn-Chip Memory•On-chip boot ROM•256KB on-chip RAM (OCM)•Byte-parity supportExternal Memory Interfaces•Multiprotocol dynamic memory controller•16-bit or 32-bit interfaces to DDR3, DDR3L, DDR2, or LPDDR2 memories•ECC support in 16-bit mode•1GB of address space using single rank of 8-, 16-, or 32-bit-wide memories•Static memory interfaces•8-bit SRAM data bus with up to 64MB support•Parallel NOR flash support•ONFI1.0 NAND flash support (1-bit ECC)•1-bit SPI, 2-bit SPI, 4-bit SPI (quad-SPI), or two quad-SPI (8-bit) serial NOR flash8-Channel DMA Controller•Memory-to-memory, memory-to-peripheral, peripheral-to-memory, and scatter-gather transaction supportI/O Peripherals and Interfaces•Two 10/100/1000 tri-speed Ethernet MAC peripherals with IEEE Std802.3 and IEEE Std1588 revision 2.0 support•Scatter-gather DMA capability•Recognition of 1588 rev. 2 PTP frames•GMII, RGMII, and SGMII interfaces•Two USB 2.0 OTG peripherals, each supporting up to 12 Endpoints •USB 2.0 compliant device IP core•Supports on-the-go, high-speed, full-speed, and low-speed modes•Intel EHCI compliant USB host•8-bit ULPI external PHY interface•Two full CAN 2.0B compliant CAN bus interfaces•CAN 2.0-A and CAN 2.0-B and ISO 118981-1 standardcompliant•External PHY interface•Two SD/SDIO 2.0/MMC3.31 compliant controllers•Two full-duplex SPI ports with three peripheral chip selects•Two high-speed UARTs (up to 1Mb/s)•Two master and slave I2C interfaces•GPIO with four 32-bit banks, of which up to 54 bits can be used with the PS I/O (one bank of 32b and one bank of 22b) and up to 64 bits(up to two banks of 32b) connected to the Programmable Logic •Up to 54 flexible multiplexed I/O (MIO) for peripheral pin assignments Interconnect•High-bandwidth connectivity within PS and between PS and PL•ARM AMBA® AXI based•QoS support on critical masters for latency and bandwidth control Zynq-7000 SoC Data Sheet: OverviewDS190 (v1.11.1) July 2, 2018Product Specification•Two full CAN 2.0B compliant CAN bus interface controllers•CAN 2.0-B standard as defined by BOSCH Gmbh•ISO 118981-1•An external PHY interface•Two SD/SDIO 2.0 compliant SD/SDIO controllers with built-in DMA•Two full-duplex SPI ports with three peripheral chip selects•Two UARTs•Two master and slave I2C interfaces•Up to 118 GPIO bitsUsing the TrustZone system, the two Ethernet, two SDIO, and two USB ports (all master devices) can be configured to be secure or non-secure.The IOP peripherals communicate to external devices through a shared pool of up to 54 dedicated multiuse I/O (MIO) pins. Each peripheral can be assigned one of several pre-defined groups of pins, enabling a flexible assignment of multiple devices simultaneously. Although 54 pins are not enough for simultaneous use of all the I/O peripherals, most IOP interface signals are available to the PL, allowing use of standard PL I/O pins when powered up and properly configured. All MIO pins support 1.8V HSTL and LVCMOS standards as well as 2.5V/3.3V standards.InterconnectThe APU, memory interface unit, and the IOP are all connected to each other and to the PL through a multilayered ARM AMBA AXI interconnect.The interconnect is non-blocking and supports multiple simultaneous master-slave transactions.The interconnect is designed with latency sensitive masters, such as the ARM CPU, having the shortest paths to memory, and bandwidth critical masters, such as the potential PL masters, having high throughput connections to the slaves with which they need to communicate.Traffic through the interconnect can be regulated through the Quality of Service (QoS) block in the interconnect. The QoS feature is used to regulate traffic generated by the CPU, DMA controller, and a combined entity representing the masters in the IOP.PS InterfacesPS External InterfacesThe PS external interfaces use dedicated pins that cannot be assigned as PL pins. These include:•Clock, reset, boot mode, and voltage reference•Up to 54 dedicated multiuse I/O (MIO) pins, software-configurable to connect to any of the internal I/O peripherals and static memory controllers•32-bit or 16-bit DDR2/DDR3/DDR3L/LPDDR2 memoriesMIO OverviewThe function of the MIO is to multiplex access from the PS peripheral and static memory interfaces to the PS pins as defined in the configuration registers. There are up to 54 pins available for use by the IOP and static memory interfaces in the PS. Table4 shows where the different peripherals pins can be mapped. A block diagram of the MIO module is shown in Figure2. If additional I/O pins beyond the 54 are required, it is possible to route these through the PL to the I/O associated with the PL. This feature is referred to as extendable multiplexed I/O (EMIO).Port mappings can appear in multiple locations. For example, there are up to 12 possible port mappings for CAN pins. The PS Configuration Wizard (PCW) tool should be used for peripheral and static memory pin mapping.I/O Electrical CharacteristicsSingle-ended outputs use a conventional CMOS push/pull output structure driving High towards V CCO or Low towards ground, and can be put into a high-Z state. The system designer can specify the slew rate and the output strength. The input is always active but is usually ignored while the output is active. Each pin can optionally have a weak pull-up or a weak pull-down resistor.Most signal pin pairs can be configured as differential input pairs or output pairs. Differential input pin pairs can optionally be terminated with a 100Ω internal resistor. All devices in the Zynq-7000 family support differential standards beyond LVDS: RSDS, BLVDS, differential SSTL, and differential HSTL.Each of the I/Os supports memory I/O standards, such as single-ended and differential HSTL as well as single-ended SSTL and differential SSTL. The SSTL I/O standard can support data rates of up to 1866Mb/s for DDR3 interfacing applications. 3-State Digitally Controlled Impedance and Low-Power I/O FeaturesThe 3-state Digitally Controlled Impedance (T_DCI) can control the output drive impedance (series termination) or can provide parallel termination of an input signal to V CCO or split (Thevenin) termination to V CCO/2. This allows users to eliminate off-chip termination for signals using T_DCI. In addition to board space savings, the termination automatically turns off when in output mode or when 3-stated, saving considerable power compared to off-chip termination. The I/Os also have low-power modes for IBUF and IDELAY to provide further power savings, especially when used to implement memory interfaces.I/O LogicInput and Output DelayAll inputs and outputs can be configured as either combinatorial or registered. Double data rate (DDR) is supported by all inputs and outputs. Any input and some outputs can be individually delayed by up to 32 increments of 78ps or 52ps each. Such delays are implemented as IDELAY and ODELAY. The number of delay steps can be set by configuration and can also be incremented or decremented while in use.ISERDES and OSERDESMany applications combine high-speed, bit-serial I/O with slower parallel operation inside the device. This requires a serializer and deserializer (SerDes) inside the I/O structure. Each I/O pin possesses an 8-bit IOSERDES (ISERDES and OSERDES) capable of performing serial-to-parallel or parallel-to-serial conversions with programmable widths of 2, 3, 4, 5, 6, 7, or 8 bits. By cascading two IOSERDES from two adjacent pins (default from differential I/O), wider width conversions of 10 and 14 bits can also be supported. The ISERDES has a special oversampling mode capable of asynchronous data recovery for applications like a 1.25Gb/s LVDS I/O-based SGMII interface.Low-Power Serial TransceiversSome highlights of the low-power serial transceivers in the Zynq-7000 family include:。