汉字点阵显示系统实验报告

合集下载
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

汉字点阵显示系统实验报告
LED汉字点阵总结报告
电子技术综合设计
总结报告
姓名:学号
专业与班级:
设计题目:LED点阵显示系统设计时间:2012 ~2013学年第(1)学期指导教师:成绩:日期:
一:课题任务
采用单片机和LED点阵显示模块设计实现组合点阵信息显示。

能显示16X16点阵图文LED显示屏,要求能显示图文或文字,显示图文或文字应稳定、清晰,图文或文字显示。

二:方案设计
2.1 总体设计
2.2 图文显示一般有静态和动态显示两种方案,静态方案虽然设计简单,但其使用的管脚太多,如本设计中16x16的点阵共有256个发光二极管,显然单片机没有这么多的端口,如果我采用锁存器来扩展端口,按8位的锁存器来计算,16x16的点阵需要256/8=32个锁存器。

这个数字很庞大,因为我们仅仅是16x16的点阵,在实际应用中的显示屏往往要大得多,这样在锁存器上花的成本将是
一个很庞大的数字。

因此在实际应用中的显示屏几乎都不采用这
种设计,而采用另外一种称为动态扫描的显示方法。

动态扫描的意思简单地说就是逐行轮流点亮,这样扫描驱动电路就可以实现多行(比如16行)的同名列共用一套驱动器。

具体就16x16的点阵来说,把所有同1行的发光管的阳极连在一起,把所有同1列的发光管的阴极连在一起(共阳极的接法),先送出对应第一行发光管亮灭的数据并锁存,然后选通第1行使其燃亮一定时间,然后熄灭;再送出第二行的数据并锁存,然后选通第2行使其燃亮相同的时间,然后熄灭;以此类推,第16行之后,又重新燃亮第1行,反复轮回。

当这样轮回的速度足够快(每秒24次以上),由于人眼的视觉暂留现象,就能够看到显示屏上稳定的图形了。

一个16×16的LED显示屏行和列各有16支引脚,不能单靠51单片机的端口驱动所以必须要对单片机的端口个数进行扩展。

经常采用的端口扩展方法是用串并转换芯片进行译码。

常用的串并转换芯片有74LS154(4线-16线译码器)、74LS164(8位串并转换器)、74HC595等。

51系列单片机端口低电平时,吸入电流可达20mA,具有一定的驱动能力;而为高电平时,输出电流仅数十μA甚至更小(电流实际上是由脚的上拉电流形成的),基本上没有驱动能力,所以单片机不能直接驱动LED显示屏显示。

在单片机和显示屏之间还需要增加以功能放大位目的的驱动电路。

本设计采用点阵的分块扫描,即一个16×16LED点阵是由4个8×8LED点阵构成,扫描时分时扫描4个点阵。

这样一个点阵的8
个阳极端可用单片机的8个P0口驱动。

由于单片机驱动能力不够,所以在P0口和点阵的阳极之间增加一个74HC573锁存器,这样可
以增加阳极端的驱动电流。

LED点阵的阴极端分别采用四个74HC138译码和驱动。

三:电路设计
单片机系统及其管脚
常用的时钟电路设计有两种方式,一种是内部时钟方式,一种是外部时钟方式。

本实验采用内部时钟方式,将XTAL1与XTAL2之间跨接一个石英晶振和微调电容,从而构成一个稳定的自激震荡器。

电容值取30pF左右,其大小将影响震荡频率的高低、振荡器的稳定性和起振的快速性。

为减少线间的寄生电容,晶振和电容应尽能安装得与单片机靠近,保证晶振稳定可靠的工作。

如图点阵及驱动部分
行驱动部分采用P0口串接一个74HC573,当锁存使能端LE为高时,这些器件的锁存对于数据是透明的(也就是说输出同步)。

当锁存使能变低时,符合建立时间和保持时间的数据会被锁存。

由于它的同步作用,即输出和P0口的数据输出是一样的,但由于74HC的拉电流可以达到35毫安,比一般单片机的要高,所以可以用来驱动点阵。

管脚图如下
列驱动部分采用74HC138驱动。

P2.3=1,P2.4=1,P2.5=1,P2.1时,分别选通一个138译码器,
输出扫描码给对应的点阵。

篇二:汉字点阵显示设计
华北科技学院
《接口技术》
课程设计报告
班级: 姓名:
设计题目:汉字点阵显示设计设计时间至指导教师:
评语:__________________________________ ___________________________________________
___________________________________________
___________________________________________
___________________________________________ 评阅成绩:____评阅教师:______
华北科技学院计算机系课程设计实验报告
《接口技术》课程设计报告
篇三:8×8点阵实验报告
JIANGSU TEACHERS UNIVERSITY OF TECHNOLOGY数字电路课程设计报
基于FFPA的
8*8点阵显示器的设计
(软件部分)
学院名称:
专业:电气工程及其自动化
班级:xxxx
姓名:xxx
学号:xxxxxxx
指导教师姓名:
2010年12月

目录
序言……………………………………………………………
一、课题要求……………………………
(1)技术要求
(2)功能要求
(3) 本人的工作
二、设计原理及其框图…………………
(1)8*8点阵的工作原理和方案
(2)单元电路设计,仿真与分析
(3)顶层电路设计,仿真与分析
(4)硬件电路设计与安装图
三、成品调试
(1)下载调试
(2)收获及体会
序言
面对当今飞速发展的电子产品市场,设计师需要更加实用、快捷的EDA工具,使用统一的集成化设计环境,改变传统设计思路,将精力集中到设计构思、方案比较和寻找优化设计等方面,需要以最快的速度,开发出性能优良、质量一流的电子产品,对EDA 技术提出了更高的要求。

可编程逻辑器件已经成为当今世界上最富吸引力的半导体器件,在现代电子系统设计中扮演着越来越重要的角色。

过去的几年里,可编程器件市场的增长主要来自大容量的可编程逻辑器件CPLD和FPGA。

本课程设计主要阐述基于FPGA和VHDL语言实现在8*8点阵上的汉字静态显示、一屏一屏显示以及滚动显示。

在实际学习中我们应该从应用的角度看待这门课程,初步掌握基本设计工具和设计方法,在课程实践中应锻炼自己的实际设计思想、创新思维和创造能力,力求提高自己的工程实践能力和自主创新能力。

未来的EDA技术将在仿真、时序分析、集成电路自动测试、高速印刷电路板设计及开发操作平台的扩展等方面取得新的突破,向着功能强大、简单易学、使用方便的方向发展。

一、课题要求
(1)技术要求
软件要求:能够使用EDA编写程序及仿真设计,能够较为熟练的掌握Quartus软件并使用该软件进行编程、仿真和调试。

硬件要求:FPGA(EP2C5T144C8)引脚识别和连接。

(2)功能要求
1.静态显示“王”字;
2.一屏一屏的显示“大中国”;
3.滚动的显示数字“2010”。

(3) 本人的工作
通过查阅资料,了解8*8点阵的工作原理和FPGA芯片的基本工作原理并负责软件的编写,仿真和调试。

二、设计原理及其框图
(1)8*8点阵的工作原理和方案
若要用点阵发光二极管显示汉字,首先要将汉字放在一个方块内,方格块分成8*8共64个小方格,在方块内写上汉字,在字笔划下落处的小方格里填上“1”,无笔划处填上“0”,这样就形成了一个汉字二进制数据。

下图是一只8*8阵列的点阵发光显示器,该点阵发光显示器是由8*8阵列组成,共8行,每行8只发光二极管,共64只发光像素,每列的8只发光二极管的所有负极(阴极)相连。

每行发光管的正极相连,点阵发光显示器在同一时间只能点亮一列,每列点亮的情况是根据从显示器P1~P8送入的数据点亮,要使一个字符在显示器整屏显示,点阵发光显示器就必须通过快速逐列点亮,而且是周而复始的循环点亮,使人眼的暂留视觉效应形成一个全屏字符。

8*8点阵二极管示意图
原理框图:
(2)单元电路设计,仿真与分析
1:静态显示“王”字
程序:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_Unsigned.all;
use ieee.std_logic_ARITH.all;
ENTITY jingxian is
port(clk:in std_logic;
en:in std_logic;
lie:out std_logic_vector(7 downto 0); --列
hang:out std_logic_vector(7 downto 0));--行
End jingxian;
Architecture a of jingxian is
signal st1:std_logic_vector(7 downto 0);
signal osc:std_logic;
signal osd:std_logic;
signal d_ff:std_logic_vector(27 downto 0);
signal data:std_logic_vector(7 downt(转载于: 写论文网:汉字点阵显示系统实验报告)o 0);
signal
d0,d1,d2,d3,d4,d5,d6,d7,d8,d9,d10,d11,d12,d13,d14,d15:std_logic_ vector(7 downto 0);
Begin
hang=data;
lie=st1;
d0=00000010;
d1=10010010;
d2=10010010;
d3=11111110;
d4=10010010;
d5=10010010;
d6=00000010;
d7=00000000;--字库‘王’。

相关文档
最新文档