函数信号发生器的设计 EDA课程设计

合集下载

基于VHDL语言信号发生器的设计.EDA课程设计

基于VHDL语言信号发生器的设计.EDA课程设计

基于VHDL语言信号发生器的设计1、设计目的1) 掌握使用EDA工具设计信号发生器系统的设计思路和设计方法,体会使用EDA综合过程中电路设计方法和设计思路的不同,理解层次化设计理念。

2) 熟悉在Quartus II环境中,用文本输入方式与原理图输入方式完成电路的设计,同时掌握使用这两种方式相结合的EDA设计思路。

3) 通过这一部分的学习,对VHDL语言的设计方法进行进一步的学习,对其相关语言设计规范进行更深层次的掌握,能够更加熟练的做一些编程设计2、设计的主要内容和要求通过使用VHDL语言及Quartus II软件,设计多功能信号发生器的每个模块,将正弦波模块,方波模块,三角波模块,阶梯波模块创建相应的元件符号,同时设计好4选1数据选择器模块,再通过原理图输入方式,将各个模块组合起来,设计成一个完整的多种信号发生器电路,同时将各个模块单独进行仿真,设计各个模块的仿真波形,最后进行总原理图电路仿真,设计该信号发生器的总的仿真波形。

信号发生器:体现在它能自动的实现四种波形的转换。

根据题目的分析与整体构思可知,要完成设计任务必须完成以下要求:1、设计好用于波形切换的四路数据选择器selector4_1;2、设计好用于总电路设计的各个信号输出模块;3、设计好数模(D/A)转换器。

3、整体设计方案基本设计方案:在现有单一信号发生器的基础上,加上其它信号模块,通过组合与设计,用数模转换器(D/A)将选中的信号源发出的信号由数字信号转换为模拟信号,再用示波器显示出来,其信号发生器的结构框图如图3.1所示。

信号发生器由各个单一信号模块组合而成,其中信号产生模块将产生所需的各种信号,信号发生器的控制模块可以用数据选择器实现,用4选1数据选择器实现对四种信号的选择。

最后将波形数据送入D/A转换器,将数字信号转换为模拟信号输出。

用示波器测试D/A转换器的输出,可以观测到4种信号的输出。

时钟信号信号产生信号控制D/A转换输出信号选择信号图3.1信号发生器结构框图通过查找资料把各类信号模块的程序输入到Quartus Ⅱ中进行运行仿真,每一步都要慎重小心,错误难免的,还需要通过课本和资料一一更正。

EDA课设序列信号发生器设计

EDA课设序列信号发生器设计

绪论本次课程设计通过利用quartusII软件实现序列发生器。

从而对EDA进一步的熟悉,了解,和掌握。

通过本课程的学习,可以了解硬件描述语言编程方法 ,掌握VHDL编程方法,掌握序列发生器的形成。

EDA是电子设计自动化(Electronic Design Automation)缩写,是90年代初从CAD(计算机辅助设计)、CAM(计算机辅助制造)、CAT(计算机辅助测试)和CAE(计算机辅助工程)的概念发展而来的。

EDA技术是以计算机为工具,根据硬件描述语言HDL( Hardware Description language)完成的设计文件,自动地完成逻辑编译、化简、分割、综合及优化、布局布线、仿真以及对于特定目标芯片的适配编译和编程下载等工作。

典型的EDA工具中必须包含两个特殊的软件包,即综合器和适配器。

综合器的功能就是将设计者在EDA平台上完成的针对某个系统项目的HDL、原理图或状态图形描述,针对给定的硬件系统组件,进行编译、优化、转换和综合,最终获得我们欲实现功能的描述文件。

综合器在工作前,必须给定所要实现的硬件结构参数,它的功能就是将软件描述与给定的硬件结构用一定的方式联系起来。

也就是说,综合器是软件描述与硬件实现的一座桥梁。

综合过程就是将电路的高级语言描述转换低级的、可与目标器件FPGA/CPLD 相映射的网表文件。

适配器的功能是将由综合器产生的王表文件配置与指定的目标器件中,产生最终的下载文件,如JED文件。

适配所选定的目标器件(FPGA/CPLD芯片)必须属于在综合器中已指定的目标器件系列。

硬件描述语言HDL是相对于一般的计算机软件语言,如:C、PASCAL而言的。

HDL语言使用与设计硬件电子系统的计算机语言,它能描述电子系统的逻辑功能、电路结构和连接方式。

设计者可利用HDL程序来描述所希望的电路系统,规定器件结构特征和电路的行为方式;然后利用综合器和适配器将此程序编程能控制FPGA和CPLD内部结构,并实现相应逻辑功能的的门级或更底层的结构网表文件或下载文件。

函数信号发生器课程设计

函数信号发生器课程设计

信号发生器一、设计目的1.进一步掌握模拟电子技术的理论知识,培养工程设计能力和综合分析问题、解决问题的能力。

2.基本掌握常用电子电路的一般设计方法,提高电子电路的设计和实验能力。

3.学会运用Multisim10仿真软件对所作出的理论设计进行仿真测试,并能进一步完善设计。

4.掌握常用元器件的识别和测试,熟悉常用仪表,了解电路调试的基本方法。

二、设计内容与要求1.设计、组装、调试函数信号发生器2.输出波形:正弦波、三角波、方波3.频率范围:10Hz-10KHz范围内可调4.输出电压:方波V PP<20V, 三角波V PP=6V, 正弦波V PP>1V三、设计方案仿真结果1.正弦波—矩形波—三角波电路原理图:首先产生正弦波,再由过零比较器产生方波,最后由积分电路产生三角波。

正弦波通过RC串并联振荡电路(文氏桥振荡电路)产生,利用集成运放工作在非线性区的特点,由最简单的过零比较器将正弦波转换为方波,然后将方波经过积分运算变换成三角波。

正弦—矩形波—三角波产生电路:总电路中,R5用来使电路起振;R1和R7用来调节振荡的频率,R6、R9、R8分别用来调节正弦波、方波、三角波的幅值。

左边第一个运放与RC 串并联电路产生正弦波,中间部分为过零比较器,用来输出方波,最好一个运放与电容组成积分电路,用来输出三角波。

仿真波形:调频和调幅原理调频原理:根据RC 振荡电路的频率计算公式RCfoπ21=可知,只需改变R 或C 的值即可,本方案中采用两个可变电阻R1和R7同时调节来改变频率。

调幅原理:本方案选用了最简单有效的电阻分压的方式调幅,在输出端通过电阻接地,输出信号的幅值取决于电阻分得的电压多少。

其最大幅值为电路的输出电压峰值,最小值为0。

RC 串并联网络的频率特性可以表示为)1(31111212RCRC j RC j R C j R RCj Rf Z Z ZUU F ωωωωω-+=++++=+==∙∙∙令,1RCo =ω则上式可简化为)(31ωωωωOOjF -+=∙,以上频率特性可分别用幅频特性和相频特性的表达式表示如下:|F∙|)(3122ωωωωo o -+=)(3arctanωωωωϕooF--=,根据上式可以分别画出RC 串并联网络的幅频特性和相频特性:1.正弦波振荡电路的原理如下图a 、b 所示:由上图得出正弦波振荡的条件为:根据RC 串并联网络的选频特性及上述平衡条件容易得到RC 正弦波振荡电路的振荡频率为:RCfoπ21=; 振荡的幅度平衡条件|F A ∙∙|1=是表示振荡电路已达到稳幅振荡时的情况。

EDA技术与应用实践3.函数信号发生器

EDA技术与应用实践3.函数信号发生器

3 函数信号发生器的设计智能函数信号发生器一般是指能自动产生正弦波、三角波、锯齿波和方波等函数信号波形的电路和仪器,它与示波器、电压表、频率计等仪器一样,是最普通、最基本、应用最广泛的电子仪器之一,在电子技术实验、自动控制系统和其它科研领域,几乎所有的电参量的测量都需要用到信号发生器。

3.1 设计要求设计一个智能函数信号发生器,能够以稳定的频率产生正弦波、三角波、锯齿波和方波,并能够通过按键选择输出4种不同种类的函数波形,同时具有系统复位功能。

3.2 设计方案智能函数信号发生器主要由两大部分电路组成:即函数信号发生电路和函数信号选择电路。

其中函数发生电路包括产生正弦波、三角波、锯齿波和方波4种不同函数波形的模块,如图 3 - 1所示。

开关SEL时钟CLK波形输出复位CLR图 3 - 1 函数信号发生器组成框图函数发生电路要产生4种不同的波形,因此要针对每种函数波形设计对应的电路模块。

虽然每个模块的输入和输出设置相同,但不同的函数发生模块对信号的处理方式不同。

对于三角波、锯齿波和方波3种比较规则的波形,可以用程序代码产生;而对于正弦波,则可以使用宏模块实现。

3.3 模块设计⒈正弦波产生模块正弦波的产生可用图 3 - 2所示电路实现,其中XHQ_Cout是LAM计数器,XHQ_ROM是只读存储器。

ROM中保存正弦波信号的数据,其地址由计数器XHQ_Cout提供;而XHQ_Cout是一个8位加法计数器。

在时钟信号的控制下,计数器输出q[7..0]在00000000-11111111范围内循环变化,使ROM 输出周期性变化的正弦波形信号数据。

为此需要先设计计数器XHQ_Cout和只读存储器XHQ_ROM。

图 3 - 2 正弦波产生原理图⑴定制LPM计数器①新建工程文件后,选择【Tools】 【MegaWizard Plug-In Manager…】菜单命令,在弹出的如图 3 - 3所示〖MegaWizard Plug-In Manager[page 1]〗对话框中单击按钮,接着弹出图 3 - 4所示〖MegaWizard Plug-In Manager[page 2a]〗对话框。

EDA课程设计(信号发生器)

EDA课程设计(信号发生器)

课程设计报告2010 ~ 2011 学年第一学期设计题目:基于FPGA可调信号发生器学院:专业:课程名称: EDA原理与应用学生姓名:时间: 2011年1月指导教师:目录一、系统总体设计---------------------------------------------------------------------2二、系统功能模块设计---------------------------------------------------------------21、矩阵键盘模块------------------------------------------------------------32、频率显示模块-----------------------------------------------------------113、波形数据ROM初始化数据文件设计--------------------------------134、频率、幅度改变模块--------------------------------------------------145、DA转化模块-----------------------------------------------------------186、示波器检测-------------------------------------------------------------18三、结束语---------------------------------------------------------------------------191、矩阵键盘模块:矩阵键盘又称为行列式键盘,它是用4条I/O线作为行线,4条I/O线作为列线组成的键盘。

在行线和列线的每一个交叉点上,设置一个按键,这样键盘中按键的个数是4×4个。

这种行列式键盘结构能够有效地提高系统中I/O口的利用率。

EDA课设分析方案--信号发生器的设计

EDA课设分析方案--信号发生器的设计

《集成电路VHDL设计》课程设计报告设计题目专业班级:电信0901设计者:___03 庄威___________06 邓智超_______42 郭乐安______指导教师:蔡剑华设计时间:2012-06-23目录摘要 (2)Abstract (3)绪论 (4)1.V H D L简介 (5)1.1 VHDL的特点 (5)1.2 VHDL发展史 (5)2.设计的方案确定 (6)2.1.AD558工作原理 (6)2.2设计方案 (7)3.设计流程 (8)4.结束语 (14)5.参考文献 (15)附录 (16)摘要本说明书首先介绍了VHDL语言的特点及发展史;接着简要说明了D/A接口(函数发生器)的工作原理及设计思想和设计方案的确定;然后着重解释了使用VHDL语言设计D/A接口(函数发生器)的具体操作步骤及主要流程。

为了更加详细的解释清楚主要流程在本课程设计说明书中还附加了相应的图片。

最后还附加了实现设计的VHDL源程序。

关键词:VHDLD/A接口设计AbstractThis manual introduces the VHDL language features and development history。

followed by a brief description of the D/A interface and the working principle and design ideas and the way that the design program was confirmed。

and then I explain the emphasis on the use of VHDL language to design D/A interface and the specific steps and the main process. In order to explain in more details of the main process I also attached the corresponding pictures. Finally I added the VHDL design source codes in the addendum.Keywords: VHDL D/A Interface Design绪论EDA是电子设计自动化(Electronic Design Automation)的缩写。

eda课程信号发生器课程设计

eda课程信号发生器课程设计

eda课程信号发生器课程设计一、课程目标知识目标:1. 学生能理解EDA课程中信号发生器的原理与功能,掌握相关电子元件的工作特性。

2. 学生能够掌握信号发生器的分类、特点及应用场景,了解各类信号发生器的优缺点。

3. 学生能够运用所学知识,分析并设计简单的信号发生器电路。

技能目标:1. 学生能够熟练运用EDA软件进行信号发生器电路的设计、仿真与调试。

2. 学生能够独立完成信号发生器的硬件搭建,并进行基本的性能测试。

3. 学生能够通过实际操作,提高动手实践能力,培养解决实际问题的能力。

情感态度价值观目标:1. 学生能够培养对电子工程的兴趣,激发创新意识,形成主动学习的习惯。

2. 学生能够培养团队协作精神,学会与他人沟通交流,共同解决问题。

3. 学生能够认识到信号发生器在现代社会中的重要作用,增强社会责任感和使命感。

本课程针对高年级学生,在分析课程性质、学生特点和教学要求的基础上,明确以上课程目标。

通过分解目标为具体的学习成果,使学生在掌握专业知识的同时,提高实践操作能力和团队协作能力,培养良好的情感态度价值观。

为后续的教学设计和评估提供明确的方向。

二、教学内容本章节教学内容依据课程目标,紧密结合教材,确保科学性和系统性。

主要内容包括:1. 信号发生器原理与分类:讲解信号发生器的基本原理、功能及分类,重点介绍函数发生器、脉冲发生器等常见类型的工作原理及应用。

2. 电子元件特性分析:分析常用电子元件(如运放、晶体管、二极管等)在信号发生器中的作用,掌握其工作特性。

3. 信号发生器电路设计:根据实际需求,设计不同类型的信号发生器电路,分析电路性能,优化设计方案。

4. EDA软件应用:教授学生如何使用EDA软件进行信号发生器电路的设计、仿真与调试,提高实际操作能力。

5. 硬件搭建与性能测试:指导学生搭建信号发生器硬件电路,进行基本性能测试,分析测试结果,找出问题并解决。

教学内容安排如下:1. 第1周:信号发生器原理与分类,电子元件特性分析。

EDA课程设计智能函数发生器

EDA课程设计智能函数发生器

EDA课程设计题目:智能函数发生器专业:通信工程班级:通信082姓名:谢振峰学号:0810920213一、设计题目:智能函数发生器设计一个智能函数发生器,能够产生递增、递减、方波、三角波、正弦波及及阶梯波波形,并可通过开关选择输出的波形。

二、设计目标:1)设计一个智能函数发生器,能够以稳定的频率发生递增斜波、递减斜波、三角波、梯形波,正弦波和方波。

2)设置一个波形选择输入信号,通过此改变该信号可以选择以上各种不同种类的输出函数波形,系统具有复位功能。

三、设计原理:1.原理图框图如下:图1、原理图框图2.原理图说明本设计采用VHDL语言和原理图设计结合的方法,首先用文本输入法设计了六个波形模块,分别为递增、递减、三角波、梯形、正弦波、方波模块,和一个选择模块。

然后进行原理图设计,将各波形模块与选择模块相应的引脚连接,从而完成智能函数发生器的设计。

四、设计内容:1)递增模块递增模块是用VHDL语言描述的递增函数,实体部分部分说明三个端口,两个输入端口时钟信号clk、复位信号rst和一个输出端口q。

设计思路为:通过设计一个中间变量从0x00到0xFF的递增赋值给输出信号q,从而实现递增数字信号的输出。

递增模块仿真图如下:图2、递增模块仿真图2)递减模块递减模块的实体包含时钟信号输入端口clk和复位信号端口rst,输出信号端口q。

设计思路为:通过设计一个中间变量从0xFF到0x00的递减赋值给输出信号q,从而实现递减数字信号的输出。

图3、递减模块仿真图3)三角波模块三角波模块的实体包含时钟信号输入端口clk和复位信号端口rst,输出信号端口q。

设计思路为:通过设计一个中间变量先从0x00递增到0x7F,然后从0x7F递减到0x00,将中间变量赋值给输出信号q,从而实现一个周期三角波形的输出。

三角波模块仿真图如下:图4、三角波模块仿真图4)阶梯波模块阶梯波模块的实体包含时钟信号输入端口clk和复位信号端口rst,输出信号端口q。

函数信号发生器课程设计

函数信号发生器课程设计

函数信号发生器课程设计一、课程目标知识目标:1. 学生能理解函数信号发生器的基本原理,掌握其工作流程及各部分功能。

2. 学生能描述函数信号发生器产生的常见信号类型,如正弦波、方波、三角波等。

3. 学生能运用数学知识分析函数信号发生器产生的信号特点及其应用场景。

技能目标:1. 学生能正确操作函数信号发生器,进行信号生成、频率调节、幅度调节等基本操作。

2. 学生能运用函数信号发生器进行简单的信号实验,如叠加、调制等。

3. 学生能通过实验观察和分析信号波形,提高实验操作能力和问题解决能力。

情感态度价值观目标:1. 学生培养对电子技术及信号处理领域的兴趣,激发学习热情。

2. 学生通过合作实验,培养团队协作能力和沟通能力。

3. 学生在学习过程中,树立正确的科学态度,认识到科学技术对社会发展的作用。

课程性质:本课程为电子技术实践课程,注重理论与实践相结合,提高学生的实际操作能力。

学生特点:高二年级学生,已具备一定的电子技术基础知识和实验操作技能。

教学要求:结合学生特点,采用启发式教学,引导学生主动探究,提高学生的实践能力和创新能力。

在教学过程中,注重培养学生的安全意识和实验素养。

通过课程学习,使学生能够将所学知识应用于实际电子电路设计和实验中。

二、教学内容1. 函数信号发生器原理介绍:包括振荡器、放大器、波形发生器等组成部分及其工作原理。

- 教材章节:第二章第三节“函数信号发生器的组成与原理”2. 常见信号类型及其特点:正弦波、方波、三角波、脉冲波等信号的数学描述和实际应用。

- 教材章节:第二章第四节“函数信号发生器的波形及其应用”3. 函数信号发生器操作与使用:基本操作方法、功能键的使用、频率和幅度的调节。

- 教材章节:第三章第一节“函数信号发生器的操作与使用”4. 实验教学:利用函数信号发生器进行信号叠加、调制等实验操作。

- 教材章节:第三章第二节“函数信号发生器实验”5. 信号分析与应用:分析实验中产生的信号波形,探讨其在电子技术领域的应用。

正弦函数信号发生器的设计EDA课程设计报告

正弦函数信号发生器的设计EDA课程设计报告

华东交通大学理工学院课程设计报告书所属课程: EDA技术及应用设计题目:正弦函数信号发生器的设计分院:电信分院班级:通信工程 2008级 2班姓名:骆玉春学号: 20080210420224 指导教师:王涛实验地点:实验楼五楼(EDA实验室506)2010 年 6 月 19 日华东交通大学理工学院课程设计任务书专业:08通信工程班级: 2班姓名:骆玉春一、课程设计题目正弦函数信号发生器的设计二、课程设计工作:自 2011 年 6月 16 日起至 2011 年 6 月20 日止。

三、课程设计的内容要求:1、识别各种Quartus II软件中各元件及其图形表示和文字符号。

2、学会如何使用Quartus II。

3、掌握VHDL语言的编程思想和VHDL语言的基本使用规则。

4、熟练掌握正弦函数信号发生器的工作原理,并读懂源程序。

5、按照编译、调试、仿真的正确步骤,并正确进行调试和仿真。

6、学会分析仿真图。

学生签名:2011年 6月 19日课程设计评阅意见评阅人职称2011 年月日目录课程设计评阅意见 (1)目录 (2)第一章设计目的 (3)第二章设计要求 (3)第三章设计内容 (3)第四章设计原理 (3)第五章设计步骤 (4)5.1建立.mif格式文件 (4)5.2建立.hex格式文件 (5)5.3定制LPM_ROM (5)5.4完成顶层设计 (11)第六章课程设计总结 (13)参考文献 (14)第一章设计目的进一步熟悉QuartusII 6.0及其LPM_ROM与FPGA硬件资源的使用方法。

培养动手能力以及谐作能力。

第二章设计要求1、CLK为12MHz。

2、通过DAC0832输出正弦波电压信号,电压范围0~-5V。

3、通过仿真观察波形。

第三章设计内容在Quartus II上完成正弦波信号发生器的设计,包括仿真和资源利用情况了解(假设利用Cyclone器件)。

最后在实验系统上实测,包括FPGA中ROM的在系统数据读写测试和仿真测试。

EDA课程设计的信号发生器

EDA课程设计的信号发生器

重庆大学城市科技学院电气信息学院EDA课程设计报告课程题目函数信号发生器指导教师专业组员学号日期一摘要EDA技术作为现代电子设计的核心,它依赖于功能强大的计算机,在EDA 工具软件平台上,对以硬件描述语言HDL为系统逻辑描述手段完成的设计文件,自动的完成设计文件,自动的完成逻辑编译,逻辑化简,逻辑分割,逻辑综合,结构综合(布局布线),以及逻辑优化和仿真测试,直到完成既定的电子线路系统功能。

随着基于FPGA的EDA技术的发展和应用领域不断的扩大与深入,EDA技术在电子信息、通信、自动控制及计算机应用等领域的重要性日益突出。

随着技术市场与人才市场对EDA技术的需求不断提高,产品的市场效率和技术要求也将会影响到教学与科研。

本设计是一个基于VHDL的采用自顶向下设计方法实现的多功能函数信号发生器,该设计方法具有外围电路简单,程序修改灵活和调试容易等特点,并通过计算机仿真和实验证明了设计的正确性。

关键词:FPGA 信号发生器 VHDL 自顶向下二题目分析1、设计目的设计一个信号发生器,用于产生正弦波、方波、三角波、锯齿波信号2、设计要求设计一个信号发生器(1).能产生正弦波、方波、三角波、锯齿波。

(2).能够选择输出不同的波形。

(3).能够调节输出波形的频率。

三硬件电路设计由题目分析可知,要完成整体设计,可通过QuartusⅡ设计出以下各个模块的原理图:1)分频器的原理图2)地址发生器的原理图3)正弦波发生器的原理图4)方波信号发生器的原理图5)锯齿波信号发生器的原理图6)三角波信号发生器的原理图7)四选一选择器原理图其中各波形数据存储ROM的HEX数据文件分别如下各图所示:图3.9 正弦波数据图3.10 方波数据图3.11 锯齿波数据图3.12 三角波数据经过对设计要求的仔细分析与思考,把以上各模块根据其功能和设计思路设计出总的系统原理图如图3.13所示:图3.13 系统综合原理图对整个系统进行硬件测试的引脚设定锁定如图3.14所示,测试时采用的是GW48-EDA系统的电路模式6.图3.14 引脚锁定图四程序设计(1)数控分频器的程序设计LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY DVF ISPORT( DIN: IN STD_LOGIC_VECTOR(7 DOWNTO 0);CLK: IN STD_LOGIC;FOUT:OUT STD_LOGIC);END DVF;ARCHITECTURE behav of DVF ISSIGNAL FULL: STD_LOGIC;BEGINP_REG: PROCESS(CLK)VARIABLE CNT8:STD_LOGIC_VECTOR(7 DOWNTO 0);BEGINIF CLK'EVENT AND CLK='1' THENIF CNT8="11111111" THENCNT8:=DIN;FULL<='1';ELSE CNT8:=CNT8+1;FULL<='0';END IF;END IF;END PROCESS P_REG;P_DIV:PROCESS(FULL)VARIABLE CNT2:STD_LOGIC;BEGINIF FULL'EVENT AND FULL='1' THENCNT2:=NOT CNT2;IF CNT2='1' THEN FOUT<='1'; ELSE FOUT<='0'; END IF;END IF;END PROCESS P_DIV;END behav;(2)地址发生器的程序设计LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY CNT6B ISPORT (CLK,RST,EN : IN STD_LOGIC;DOUT : OUT STD_LOGIC_VECTOR(5 DOWNTO 0));END CNT6B;ARCHITECTURE behav OF CNT6B ISSIGNAL CQI : STD_LOGIC_VECTOR(5 DOWNTO 0);BEGINPROCESS(CLK, RST, EN)BEGINIF RST = '1' THEN CQI <= (OTHERS =>'0') ; --计数器异步复位ELSIF CLK'EVENT AND CLK='1' THEN --检测时钟上升沿IF EN = '1' THEN CQI <= CQI + 1;END IF;END IF;END PROCESS;DOUT<=CQI;END behav;(3)四选一选择器的程序设计LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY MUL41 ISPORT(A,B,C,D:IN STD_LOGIC_VECTOR(7 DOWNTO 0);S1,S0:IN STD_LOGIC;Y:OUT STD_LOGIC_VECTOR(7 DOWNTO 0));END ENTITY MUL41;ARCHITECTURE ONE OF MUL41 ISSIGNAL S:STD_LOGIC_VECTOR(1 DOWNTO 0);BEGINS<= S1 & S0;WITH S SELECTY<= A WHEN "00" ,B WHEN "01" ,C WHEN "10" ,D WHEN "11" ,"ZZZZZZZZ" WHEN OTHERS;END;(4)其余各波形数据存储ROM的设计可以利用MegaWizard Plug-In Manager定制信号数据ROM宏功能块,并将其相应的波形数据加载与定制的ROM中。

EDA课程设计——函数信号发生器

EDA课程设计——函数信号发生器

EDA课程设计——函数信号发生器实验报告学院(系)专业、班级学生姓名学号小组其他队员:指导教师(1)实验要求(2)总体设计思路(3)程序仿真(4)实验结果(5)心得体会一.实验要求(1)利用VHDL语言设计一个多功能信号发生器,可以产生正弦波,三角波,锯齿波和方波的数字信号。

(2)焊接一个D/A转换器,对输出的数字信号转换成模拟信号并在示波器上产生波形。

(3)在电路板上可以对波形进行选择输出。

(4)在电路板上可以对波形的频率与幅度进行调节。

二.总体设计思路信号发生器主要由分频,波形数据的产生,四选一多路选择,调幅和D/A转换五个部分组成。

总体框架图如下:(1)分频分频器是数字电路中最常用的电路之一,在FPGA的设计中也是使用效率非常高的基本设计。

实现的分频电路一般有两种方法:一是使用FPGA芯片内部提供的锁相环电路,如ALTERA提供的PLL(Phase Locked Loop),Xilinx提供的DLL(Delay Locked Loop);二是使用硬件描述语言,如VHDL、Verilog HDL等。

本次我们使用VHDL进行分频器设计,将奇数分频,和偶数分频结合起来,可以实现50%占空比任意正整数的分频。

分频器原理图:在我们本次试验中的实现即为当按下按键时,频率自动减半。

如当输入为100MHZ,输出为50MHZ。

(2)信号的产生。

根据查找资料,我们最终确定了在QUARTUS中波形数据产生的方法,即利用地址信号发生器和LPM_ROM模块。

ROM 的地址信号发生器,有七位计数器担任。

LPM_ROM底层是FPGA 中的M4K等模块。

然后在VHDL顶层程序设计中将两部分调用从而实现信号的发生。

ROM中存放不同的初始化MIF文件(存放不同波形的数据)从而产生不同的波形。

信号产生模块:信号产生RTL图:此环节最重要的还有MIF文件的建立,经过查找资料,下载了MIFMAKER 2010软件,从而较为简单的产生了MIF文件。

eda课程设计 信号发生器

eda课程设计  信号发生器

目录1. 引言 (1)2. VHDL语言及Quartus II软件介绍 (2)2.1 VHDL语言 (2)2.2 Quartus II软件 (2)3.总体设计思想及流程 (3)4. 具体程序实现模块 (4)4.1倍频器模块 (4)4.2主程序模块 (4)4.3 波形显示模块 (5)4.4频率显示模块 (5)5. 软件仿真 (6)6. 硬件显示 (7)7. 总结与体会 (8)参考文献 (9)附录 (10)附录1. 整体系统原理图 (10)附录2. 主程序 (11)1. 引言信号发生器是一种能够产生多种波形,如三角波、方波、锯齿波、正弦波的仪器。

信号发生器在电路实验和设备检测以及通信、雷达、导航、宇航等领域有广泛的应用。

正因为其在生活中应用的重要性,人们它做了大量的研究,总结出了许多实现方式。

可以基于FPGA 、VHDL、单片机、DOS技能、数字电路等多种方法实现。

简易信号发生器是信号发生器的一种。

可以实现信号发生器的一些基本功能。

本次课程设计要求设计的是一种简易信号发生器。

在本设计中要求设计的简易信号发生器是采用VHDL来实现的简易多功能信号发生器。

它能产生正弦波,三角波和方波。

且对各种波形的要求如下:(1)设计任意信号发生器,使之能够生成正弦波、三角波和方波;(2)电路的外部频率为40MHz,要求信号发生器可产生0-1KHz、1KHz~10KHz、10KHz~1MHz三档频率的信号;(3)要求具有波形选择和频率选择的功能;(4)在同一频率档内,可实现频率的加减;(5)要求显示波形的同时能够进行频率的调节;(6)要求能够显示波形:A——正弦波;B——三角波;C——方波;(7)要求能够显示频率值;(8)可用示波器进行波形的观测。

2. VHDL语言及Quartus II软件介绍2.1 VHDL语言本次设计使用的是VHDL语言。

VHDL 的英文全名是Very-High-Speed Integrated Circuit Hardware Description Language,诞生于1982 年。

基于EDA技术的函数信号发生器设计

基于EDA技术的函数信号发生器设计

Ⅻ《
【6]m%☆%n.E DA&¥女月#8【镕=%),H{m《{工
2009,I
【71《{十,#十ED^#¥∞*%自m&±g∞&”.{+{&m
ST月2008 2
螭辑日惟罐
基于EDA技术的函数信号发生器设计
作者: 作者单位: 刊名:
英文刊名: 年,卷(期): 被引用次数:
陈祖武 福建师范大学协和学院,福建福州,350108
关键词:电子设计自动4E;函数信号发生器;可编程逻辑器件
中图分类号:TP30
文献标识码:^
文章编号:1008-7540(201 0)06-0161—02
一、引言 函数信号发生器,能产生某些特定的周期性时间函数波 形(正弦波、方波、三角波、锯齿波和脉冲波等)信号,频 率范围町从几个微赫到几十兆赫。函数信号发牛器在电路实 验和设备检测中具有十分广泛的用途。例如在通信、广播、 电视系统中,都需要射频(高频)发射,这里的射频波就是 载波,把音频(低频)、视频信号或脉冲信号运载出去,就 需要能够产生高频的振荡器。除供通信、仪表和自动控制系 统测试用外,还广泛用于其他非电测量领域【1】。 函数发生器在测量中作为信号源的应用也是非常广泛 的。要得到一个频率稳定的正弦波、矩形波等多种波形的方 法也很多,但是设备的成本都比较昂贵,本文在结合数字逻 辑电路的应用,采用软硬件的方法来实现一个稳定性、可靠 性较好,成本较低的函数发生器。为此,先对要产生的波形 信号的一个周期进行采样,将采样点信号顺序存储在EPROM 中,再用控制电路调出所需信号,经D/A转换后,便能得到 频率可调的各种波形。 二、函数信号发生器的整体结构 本次设计的函数发生器主要有几个模块组成:键盘扫描 显示模块、FPGA控制模块、正弦波、三角波、锯齿波、递增 斜波、递减斜波、多路选择器、D/A转换模块,还有供键盘 使用的普通分频计和供各个波形模块使用的可调分频计。如 图1所示。

函数信号发生器的设计 EDA课程设计

函数信号发生器的设计 EDA课程设计

摘要本说明书首先介绍了VHDL语言的特点及发展史;接着简要说明了D/A接口(函数发生器)的工作原理及设计思想和设计方案的确定;然后着重解释了使用VHDL语言设计D/A接口(函数发生器)的具体操作步骤及主要流程。

为了更加详细的解释清楚主要流程在本课程设计说明书中还附加了相应的图片。

最后还附加了实现设计的VHDL源程序。

关键词:VHDL D/A接口设计绪论EDA是电子设计自动化(Electronic Design Automation)的缩写。

EDA技术就是依赖功能强大的计算机,在EDA工具软件平台上,对以硬件描述语言HDL(Hardware Description Language)为系统逻辑描述手段完成的设计文件,自动地完成逻辑编译、化简、分割、综合、布局布线以及逻辑优化和仿真测试,直至实现既定的电子线路系统功能。

EDA技术使设计者的工作仅局限于利用软件的方式来完成对系统硬件功能的实现,可以说EDA技术的产生与发展是电子设计技术的一个巨大进步。

EDA技术融合了众多电子设计技术和计算机辅助技术,使得它在现代电子学方面的应用越来越广泛,也成为电子、电气类大学生必须熟练掌握的一种设计工具。

硬件描述性语言HDL是EDA技术的重要组成部分,常见HDL的有VHDL、HDL、ABEL、Verilog、AHDL、SystemC等。

其中VHDL、Verilog在现在的EDA 设计中使用的最多,也拥有了几乎所有主流EDA工具的支持,而相对于其他语言VHDL更加完善。

VHDL是英文全名是VHSIC(Very High Speed Integrated Circuit) Hardware Description Language,是硬件描述语言的业界标准之一。

它作为一个规范语言和建模语言,具有与具体硬件电路无关及设计平台无关的特性,而且还有很强的电路行为描述和建模能力,能从多个层次的数字系统进行建模和描述,从而大大简化了硬件设计的任务,提高了设计效率和可靠性。

EDA实训函数信号发生器

EDA实训函数信号发生器

《EDA技术与应用》实训报告学号姓名指导教师:实训题目:1.系统设计1.1 设计要求1.1.1 设计任务设计一个多功能信号发生器1.1.2 技术要求①能够产生两种或以上种输出波形(正弦波、三角波、锯齿波等)。

②输出的波形的频率允许有多种选择。

③输出波形的幅度在1V~5V范围内。

④输出的波形能够用示波器测量。

1.2 方案比较运用了我们所学的Vverilog VHDL 语言及Quartus II 7.0软件,来完成并实现这一次实训。

1.3 方案论证1.3.1 总体思路多功能信号发生器的原理框图如图所示。

其中,fpq是分频器,用于对EDA实训仪主板上提供的20MHz的主频率进行分频,以得到满足多功能信号发生器设计需要的时钟频率,clk 是20MHz的主频率输入端,step是步长控制端,当step为0或1时,分别控制分频器的分频比,并由clk_out端输出到Lpm_counter0中,Lpm_counter0是参数可设置的计数器,用于产生lpm_rom4 ,lpm_rom2和lpm_rom3的8位位置,并从q[7..0]端输出到数据选择器abcd 中,并通过s1和s2的组合进行选择。

lpm_rom4, lpm_rom2和lpm_rom3是参数可设置的只读存储器,用于存放多功能信号发生器的波形数据信号,其中lpm_rom3存放的是正弦波,lpm_rom2中存放的是锯齿波,lpm_rom4中存放的是方波。

而波形的产生还需要一份Mif文件。

Mif是将波形分成N个点并将各点输入只读存储器中,这样只读存储器就可以输出相应的波形数据。

只读存储器的位置输出端接于s数据选择器的输入端abcd,而数据选择器便可通过改变从存储器中接受的数据进行区分选择,逐步地取出波形数据,经D/A转换后产生模拟波形输出。

1.3.2 设计方案2.各个模块程序的设计1分频器:module fpq(clk, newclk,step);input clk,step;output newclk;reg newclk;reg[24:0] cnter,sc;reg[1:0] stepcnt;always @(posedge step)beginstepcnt=stepcnt+1;beginif (stepcnt == 0) sc = 2000;else if (stepcnt == 1) sc = 200;else if (stepcnt == 2) sc = 20;else if (stepcnt == 3) sc = 2;else sc = 2000;endendalways @(posedge clk)beginif (cnter < sc) cnter = cnter+1;else cnter = 0;if (cnter < sc/2) newclk = 'b1;else newclk = 'b0;endendmodule2 数据选择器:module jsq(q,a,b,c,d,k1,k2);input k1,k2;input [7:0]a,b,c,d;output reg [7:0]q;always @(k1 or k2)begincase ({k1,k2})'b00: q=a;'b01: q=b;'b10: q=c;'b11: q=d;endcaseendendmodule3.整理与调试过程将示波器的探头与试验箱上DAOUT及GND进行连接,将试验箱接通电源,此时便产生了20MHz的时钟信号,由拨码开关s14和s15分别控制正弦波,方波,锯齿波与三角波的输出,可以在示波器上看到波形成,但是还需要在示波器上调整波的幅度等参数使之形成清晰稳定的波形。

(完整word版)基于EDA的智能函数发生器的设计概要

(完整word版)基于EDA的智能函数发生器的设计概要

EDA 课程设计报告书课题名称 基于EDA 的智能函数发生器的设计 姓 名 学 号 院、系、部 专 业 指导教师2016年6月20日※※※※※※※※※ ※※ ※※ ※※※※※※※※※※※2014级学生EDA 课程设计(3)了解面包板结构及其接线方法.(4)了解函数发生器的组成及工作原理。

(5)熟悉函数发生器的设计与制作。

2 设计思路(1)设计正弦波发生电路.(2)设计三角波发生电路。

(3)设计方波发生电路。

通过以上分析设计要求完成的功能,确定函数发生器可由三角波产生模块、梯形波产生模块、正弦波产生模块、方波产生模块和输出波形选择模块组成,以及按键复位控制和时钟输入。

由此可确定系统的总体原理框图为:3 设计过程3。

1波形函数发生方案对比选择波形函数发生是本设计的最重要的部分,实现函数发生的途径也有很多,因此必须选择一种易于实现且精度高的方案,以此来提高本设计的实用性。

方案一:通过单片机控制D/A,输出三种波形。

此方案输出的波形不够稳定,抗干扰能力弱,不易调节,而且达不到题目要求的六种波形.方案二:使用传统的锁相频率合成方法.通过芯片IC145152,压控振荡器搭接的锁相环电路输出稳定性极好的正弦波,再利用过零比较器转换成方波,积分电路转换成三角波.此方案,电路复杂,干扰因素多,不易实现。

方案三:利用MAX038芯片组成的电路输出波形。

MAX038是精密高频波形产生电路,能够产生准确的三角波、方波和正弦波三种周期性波形,但无法实现梯形波和递增递减斜波的产生。

方案四:利用在系统编程技术和FPGA芯片产生。

用VHDL语言编写程序,调试成功后下载至实验装置的芯片上,再利用外接D/A转换电路实现以上设计功能。

此种方案完全可以生成设计要求的6种波形,而且通过软件仿真可以直观的观测的输出的波形参数,方便调试和更改波形参数,外围电路简单,减少器件损耗,精度高。

基于方案四的外围电路简单容易实现、波形产生精度高、易于仿真观测调试的优点,因此本设计的函数发生器选择方案四完成波形发生的全部功能.3.2 波形函数输出控制方式选择方案一:控制多路D/A开关输出方式此种方案为每一路输出的波形函数使用一路D/A转换后输出,通过控制开关控制每一路D/A 是否工作,决定输出的波形.此种方案可以同时输出多路波形,但是需要路D/A转化器,外围电路复杂,制作成本较高而且控制复杂。

函数信号发生器课程设计

函数信号发生器课程设计

函数信号发生器课程设计一、课程目标知识目标:1. 理解函数信号发生器的原理与功能,掌握其基本组成部分及其作用。

2. 掌握使用函数信号发生器产生常见波形(如正弦波、方波、三角波等)的方法。

3. 学会读取和解释函数信号发生器显示的波形参数,如频率、幅度、相位等。

技能目标:1. 能够独立操作函数信号发生器,进行基本波形的设置与调整。

2. 能够运用函数信号发生器设计简单的信号处理电路,并进行调试。

3. 培养学生动手实践能力,学会使用函数信号发生器解决实际问题的方法。

情感态度价值观目标:1. 培养学生对电子技术的兴趣,激发他们探索科学原理的精神。

2. 增强学生的团队合作意识,培养他们在实践过程中互帮互助、共同进步的精神。

3. 培养学生严谨、务实的学习态度,使他们认识到实践操作中规范操作的重要性。

课程性质:本课程为电子技术学科的课程设计,以实践操作为主,理论讲解为辅。

学生特点:学生处于高中年级,具有一定的电子技术基础,对实践操作充满兴趣。

教学要求:结合学生特点,注重理论与实践相结合,强调动手实践能力的培养。

通过课程设计,使学生将所学知识应用于实际电路设计中,提高他们的综合运用能力。

同时,关注学生的情感态度价值观的培养,使他们形成积极向上的学习态度。

课程目标的分解与实施将贯穿于整个教学设计和评估过程,以确保学生达到预期学习成果。

二、教学内容本课程教学内容主要包括以下三个方面:1. 函数信号发生器原理及功能:介绍函数信号发生器的基本原理、组成部分、工作方式及其在电子技术中的应用。

- 教材章节:第五章第三节“函数信号发生器”- 内容列举:原理讲解、组成部分、波形种类、应用领域2. 函数信号发生器操作与使用:学习如何操作函数信号发生器,掌握各种波形参数的设置与调整方法。

- 教材章节:第五章第四节“函数信号发生器的使用”- 内容列举:面板介绍、操作步骤、参数设置、波形观察3. 函数信号发生器应用案例:通过实际案例,让学生学会使用函数信号发生器解决实际问题,培养动手实践能力。

(完整word版)基于VHDL语言信号发生器的设计.EDA课程设计

(完整word版)基于VHDL语言信号发生器的设计.EDA课程设计

EDA 课程设计报告书课题名称 基于VHDL 语言信号发生器的设计 姓 名易金祥学 号 081220139 院 系 物理与电信工程系 专 业 电子信息工程 指导教师周来秀 讲师2011年 6月10日※※※※※※※※※ ※※ ※※ ※※2008级学生EDA 课程设计基于VHDL语言信号发生器的设计1、设计目的1) 掌握使用EDA工具设计信号发生器系统的设计思路和设计方法,体会使用EDA综合过程中电路设计方法和设计思路的不同,理解层次化设计理念。

2) 熟悉在Quartus II环境中,用文本输入方式与原理图输入方式完成电路的设计,同时掌握使用这两种方式相结合的EDA设计思路。

3) 通过这一部分的学习,对VHDL语言的设计方法进行进一步的学习,对其相关语言设计规范进行更深层次的掌握,能够更加熟练的做一些编程设计。

2、设计的主要内容和要求通过使用VHDL语言及Quartus II软件,设计多功能信号发生器的每个模块,将正弦波模块,方波模块,三角波模块,阶梯波模块创建相应的元件符号,同时设计好4选1数据选择器模块,再通过原理图输入方式,将各个模块组合起来,设计成一个完整的多种信号发生器电路,同时将各个模块单独进行仿真,设计各个模块的仿真波形,最后进行总原理图电路仿真,设计该信号发生器的总的仿真波形。

信号发生器:体现在它能自动的实现四种波形的转换。

根据题目的分析与整体构思可知,要完成设计任务必须完成以下要求:1、设计好用于波形切换的四路数据选择器selector4_1;2、设计好用于总电路设计的各个信号输出模块;3、设计好数模(D/A)转换器。

3、整体设计方案基本设计方案:在现有单一信号发生器的基础上,加上其它信号模块,通过组合与设计,用数模转换器(D/A)将选中的信号源发出的信号由数字信号转换为模拟信号,再用示波器显示出来,其信号发生器的结构框图如图3.1所示。

信号发生器由各个单一信号模块组合而成,其中信号产生模块将产生所需的各种信号,信号发生器的控制模块可以用数据选择器实现,用4选1数据选择器实现对四种信号的选择。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

摘要本说明书首先介绍了VHDL语言的特点及发展史;接着简要说明了D/A接口(函数发生器)的工作原理及设计思想和设计方案的确定;然后着重解释了使用VHDL语言设计D/A接口(函数发生器)的具体操作步骤及主要流程。

为了更加详细的解释清楚主要流程在本课程设计说明书中还附加了相应的图片。

最后还附加了实现设计的VHDL源程序。

关键词:VHDL D/A接口设计绪论EDA是电子设计自动化(Electronic Design Automation)的缩写。

EDA技术就是依赖功能强大的计算机,在EDA工具软件平台上,对以硬件描述语言HDL(Hardware Description Language)为系统逻辑描述手段完成的设计文件,自动地完成逻辑编译、化简、分割、综合、布局布线以及逻辑优化和仿真测试,直至实现既定的电子线路系统功能。

EDA技术使设计者的工作仅局限于利用软件的方式来完成对系统硬件功能的实现,可以说EDA技术的产生与发展是电子设计技术的一个巨大进步。

EDA技术融合了众多电子设计技术和计算机辅助技术,使得它在现代电子学方面的应用越来越广泛,也成为电子、电气类大学生必须熟练掌握的一种设计工具。

硬件描述性语言HDL是EDA技术的重要组成部分,常见HDL的有VHDL、HDL、ABEL、Verilog、AHDL、SystemC等。

其中VHDL、Verilog在现在的EDA 设计中使用的最多,也拥有了几乎所有主流EDA工具的支持,而相对于其他语言VHDL更加完善。

VHDL是英文全名是VHSIC(Very High Speed Integrated Circuit) Hardware Description Language,是硬件描述语言的业界标准之一。

它作为一个规范语言和建模语言,具有与具体硬件电路无关及设计平台无关的特性,而且还有很强的电路行为描述和建模能力,能从多个层次的数字系统进行建模和描述,从而大大简化了硬件设计的任务,提高了设计效率和可靠性。

D/A转换器的功能是把二进制数字信号转换为与其数值成正比的模拟信号。

AD558是并行8位D/A转换芯片,应用CPLD可以完成对AD558的控制。

CPLD 与CPLD结合之后可以完成函数发生器的基本功能:波形输出。

要实现这种结合就需要应用VHDL语言完成D/A接口的设计。

通过合适的VHDL语言可以完成递增斜波、递减斜波、三角波、递增阶梯波的输出。

1.VHDL简介1.1 VHDL的特点VHDL语言功能强大、设计灵活。

VHDL语言可以用简洁明确的源代码来描述复杂的逻辑控制,它具有多层次的设计描述功能,层层细化,最后可直接生成电路级描述。

VHDL支持同步电路、异步电路和随机电路的设计,这是其他硬件描述语言虽不能比拟的。

VHDL还支持多种设计方法,既支持自底向上的设计,又支持自顶向下的设计;既支持模块化设计,又支持层次化设计。

由于VHDL已经成为IEEE标准所规定的硬件描述性语言,目前大多数EDA工具几乎都支持VHDL。

因为VHDL易读和结构化且易于修改设计所以在硬件电路设计过程中,VHDL语言得到广泛应用。

VHDL语言独立于器件的设计与工艺无关。

因而设计人员用VHDL进行设计时,不需要考虑选择器件得问题,就可以集中精力进行设计的优化。

当设计描述完成后,可以用多种不同的器件结构来实现其功能。

VHDL语言易于共享和复用。

VHDL采用基于库(Library)的设计方法,可以建立各种可再次利用的模块。

这些模块可以预先设计或使用以前设计中的存档模块,将这些模块存放到库中,就可以在以后的设计中进行复用,可以使设计成果在设计人员之间进行交流和共享,减少硬件电路设计。

VHDL 丰富的仿真语句和库函数,使得在任何大系统的设计早期就能查验设计系统的功能可行性,随时可对设计进行仿真模拟。

VHDL语句的行为描述能力和程序结构决定了它具有支持大规模设计的分解和已有设计的再利用功能。

这个特点很好的符合了市场需求。

对于用VHDL完成的一个确定的设计,可以利用EDA工具进行逻辑综合和优化,并自动的把VHDL描述的设计转变成门级网表。

总之,由于VHDL语言有的这些优良的特点,它被广泛的应用在电子线路和电子系统的设计中。

2.设计方案的确定2.1.AD558工作原理A/D转换器和D/A转换器是把微型计算机的应用领域扩展到检测和过程控制的必要装置,是把计算机和生产过程、科学实验过程联系起来的重要桥梁。

D/A转换器的功能是把二进制数字信号转换为与其数值成正比的模拟信号。

D/A 转换器相对于A/D转换器在时序上要求较低。

在D/A参数中一个最重要的参数就是分辨率,它是指输入数字量发生单位数码变化时,所对应输出模拟量(电压或电流)的变化量。

分辨率是指输入数字量最低有效位为1时,对应输出可分辨的电压变化量ΔU与最大输出电压Um之比。

D/A转换器AD558是EDA实验箱上自带的并行8位D/A转换芯片,它可以把输入的8位数字量转化为0~2.56V的电压量,它与CPLD器件联合使用可以产生几种波形。

其芯片管脚外形和内部结构框图分别如图1、图2所示:图1 AD558芯片管脚外形图图2 AD558内部结构框图AD558的真值表如图3所示。

由真值表可知:当CS为低电平、CE为电平时,AD558保持上次的转换结果;当CS和CE同时为电平时,通过数据总线D[7..0]读入数据,同时讲转换结果输出。

图3. AD558真值表2.2 设计方案本次D/A接口(函数发生器)的设计中,转换结果是时时输出的。

根据对AD558真值表的分析可知:当CE和CS同时置‘0’时AD558的工作模式为时时输出。

因此在本次设计中我只需要将CE和CS同时置‘0’即可。

根据对AD558功能的分析可知:利用VHDL语言编写源程序通过CPLD完成对AD558的控制,并与AD558结合可以完成四种波形的产生。

具体设计方案如下:利用VHDL语言设计0~255循环加法计数器、255~0循环减法计数器、0~128~0循环加减计数器、0~224等梯度循环加法计数器便可分别完成递增斜波、递减斜波、三角波、递增阶梯波的输出。

每个计数器还设计了片选端和清零端。

当清零端为0时计数器恢复为初始状态。

为了实现输出波形模式的选择,在本次设计中我使用了一个四选一选择器:输入为2位逻辑数组输出为4个一位逻辑量。

每一种输入状态对于于一种输出状态,每个状态有且仅有一位为1,其余3位皆为0,每个输出量与一种计数器的片选端相连接,即每个输入状态都只选中一种输出状态。

由于CPLD实验箱上没有D/A转换器,为了显示设计结果检验设计成果我决定使用7段数码管显示产生波形的数字量。

由于输出范围为0~255,在十六进制数0H~EEH的范围内,而且实验箱上只有8个7段数码管因此我各用2个7段数码管显示4种波形的输出。

在每个计数器输出端口和7段译码器中间添加一个输入频率为1000HZ的4选1数据选择器,并利用两个拨码开关实现输入数据的选择。

由于实验箱上自带的时钟源频率为20MHZ,为了得到1000HZ的频率,我还设计了一个分频器。

以上即为本次D/A接口(函数发生器)的主要设计方案。

3.设计流程首先启动Quartus II软件如图4所示:图4 Quartus II启动界面接着利用向导,建立一个新的工程。

在File菜单中选择New Project Wizard 选项启动项目向导。

如图5所示,分别指定创建工程的路径,工程名和顶层文件名。

工程名和顶层文件可以一致也可以不同。

一个工程中可以有多个文件,但只能有一个顶层文件。

这里我将工程名取为:keshe,顶层文件名取为zhuanhuan。

如图5所示。

图5 创建工程界面图在图5所示界面点击NEXT按钮出现对话框如图6所示直接点Finish按钮然后在出现的界面中依次点击File/new出现如图7所示界面。

点击创建VHDL编辑界面中VHDLFile按钮即进入VHDL语言编辑区如图8所示。

图6 创建工程的结束界面图7创建VHDLFile对话框图8 VHDL语言编辑框在图8所示的VHDL语言编辑框中依次输入分频器、四选一选择器、循环加法计数器等4钟计数器、七段译码器等功能模块的VHDL语言源程序。

输入完成之后单击保存图标并输入相应的文件名。

保存之后即可对源程序进行编译。

如果编译成功则源程序完全正确,否则应该返回到出错处改正错误直至编译成功为止。

编译成功之后选中源程序依次单击File、NEW、create/update、create symbol file for current file便可对相应的模块生产元器件。

本次设计中对顶层文件采取原理图输入法,利用前期设计的各模块的元器件图连接成整体电路图。

修改设计直至编译成功为止,电路原理图如图9所示:图9 整体电路图原理图设计成功之后,根据实验箱上相应的管教,锁定管教之后再次编译,编译成功之后就可以把程序下载到实验箱上进行功能验证了。

若功能验证结果符合设计要求则设计至此结束。

结束语通过这次EDA课程设计,我对课堂上所学到的理论知识的理解加深了许多,自己动脑、动手设计的能力也得到了较大提高。

虽然我们这学期学习了EDA这门课,但是由于学时十分有限,当时学的有些不系统。

而且在第八周课程及结束了,十一周考完考试之后就几乎没有再接触EDA的知识了。

在此次课程设计之前,有许多细节我已经不是很清楚了,为此我特意花了两天的时间提前再次学习了《EDA技术与VHDL》这本教材。

我想这和课堂上的学习一样是我能顺利完成本次课程设计的一个重要原因。

在这次课程设计的过程中,我对VHDL语言有了更深的认识。

通过查阅相关资料和动手设计我发现我以前对VHDL语言的认识太过肤浅,认为VHDL语言只能用于设计小型的电路系统。

但有了更深刻的认识之后我发现学好VHDL语言可以设计出大规模的、功能复杂的电路系统。

以前之所以会有错误的认识是因为自己对VHDL语言的了解和掌握还不够。

现在仔细想想,这次课程设计使得我对VHDL 语言的理解与应用能力得到了较大的提升,也让我认识到只要升入学习,提升的空间永远的存在的。

在设计的过程中我遇到了一些问题,如:编写源程序中出现了语法错误,使用原理图设计顶层文件是对输入输入输出端口的位数选择错误和端口命名错误等。

通过查阅书本和以前设计的程序我发现了产生错误的原因并解决了问题完成了设计。

经过反思我发现较大一部分错误时因为操作的不熟练照成的,这也让我明白了要保持设计的高效率及必须经常练习。

另一方面我也发现了动手实践的重要性。

动手实践是理论知识得以灵活运用的必要前提,也是今后今后走上工作岗位之后能够很好的完成设计工作的技术保证。

只有遇到实际问题并根据自己对课堂上获得的专业知识的理解来解决它才能真正的提高自己的能力。

相关文档
最新文档