简易波形发生器设计

合集下载

简易波形发生器的设计

简易波形发生器的设计

XXXX学院课程设计报告课程名称:单片机课程设计院系:电气与信息工程学院专业班级:自动化09102班学生姓名: X X指导教师: X X X完成时间: 2012年6月10日报告成绩:简易波形发生器简易波形发生器是一种常用的信号源,它广泛地应用在电子技术实验、自动控制系统和其他科研领域。

本系统能够准确产生方波、正弦波、锯齿波及三角波。

基于数模转换芯片DAC0832技术的简易波形发生器由六个部分组成:MCU模块、波形发生模块、静态LED 数码管显示模块、键盘输入模块、在线下载模块以及电源模块。

MCU模块采用STC89C51RC 单片机进行数据处理,波形发生模块采用DAC0832及LM324进行波形发生及变换,静态LED数码管显示模块利用3位八段共阳极数码管及3个74LS164显示当前波形频率,键盘模块采取外部中断方式扫描键值,在线下载模块选用MAX232芯片进行单片机程序下载,电源模块使用三端稳压器为系统提供能源。

运用Altium Designer软件绘制了单元电路以及总体电路图,借助Proteus仿真软件对电路进行了虚拟实验,通过仿真分析,满足了课题性能指标的要求,成功地实现了简易波形发生器的设计。

关键词波形发生器;DAC0832;STC89C51RC;静态显示Simple waveform generator is a common source, it is widely used in the experiment of electronic technology, automatic control system and other scientific fields. The system can accurately produce a square wave, sine wave, sawtooth wave and triangle wave. Based on the digital-analog conversion chip DAC0832 simple waveform generator consists of six parts: MCU module, waveform generator module, static LED digital display module, keyboard input module, the download module and power supply. The MCU STC89C51RC microcontroller is for data processing. The waveform generation module which made of DAC0832 and LM324 is used to generate waveform and transform. The static LED digital display module uses three eight out common anode digital and three 74LS164 to show the current waveform frequency. The keyboard module to take external interrupt the scan key. Download module use a MAX232 chip microcontroller program download. The power supply uses three-terminal regulator to provide energy for the system. Altium Designer were used to draw a unit circuit as well as the overall circuit. With Proteus simulation software to conduct virtual experiments on the circuit, simulation analysis, to meet the requirements of the subject of performance indicators, the successful implementation of a simple waveform generator design.Keywords waveform generator ;DAC0832;STC89C51RC; static LED digital display目录摘要 (I)Abstract (II)第一章简易波形发生器的方案设计 (1)1.1简易波形发生器的方案分析与比较 (1)1.1.1 基于数模转换芯片DAC0832的简易波形发生器的设计 (1)1.1.2 基于MAX038函数发生器的简易波形发生器的设计 (1)1.1.3 基于DDS波形发生技术的简易波形发生器的设计 (2)1.2 简易波形发生器的总体结构说明 (2)第二章简易波形发生器的电路设计 (3)2.1 MCU模块 (3)2.1.1 STC89C51RC单片机 (3)2.1.2 复位电路 (5)2.1.3 时钟电路 (5)2.2 DAC0832模块 (5)2.2.1 DAC0832芯片基本介绍 (6)2.2.2 DAC0832波形发生电路 (7)2.3 静态LED数码管显示模块 (7)2.3.1 移位寄存器74LS164 (7)2.3.2 静态显示电路 (8)2.4 键盘输入模块 (8)2.5 在线下载模块 (9)2.6 电源模块 (9)2.7 总体电路说明 (9)第三章简易波形发生器的程序设计 (10)3.1系统接口定义 (10)3.2 主程序 (10)3.3 外部总中断1中断服务程序 (11)第四章简易波形发生器仿真分析 (13)4.1初始界面 (13)4.2 波形发生仿真 (13)4.2.1 正弦波的仿真分析 (13)4.2.2 锯齿波发生仿真分析 (14)4.2.3 三角波发生仿真分析 (15)4.2.4 方波发生仿真分析 (17)总结 (19)参考文献 (20)致谢 (21)附录1:简易波形发生器原理图 (22)附录2:简易波形发生器Protues仿真图 (23)附录3:简易波形发生器元器件明细表 (24)附录4:简易波形发生器源程序 (25)第一章 简易波形发生器的方案设计简易波形发生器是一种常用的信号源,它广泛地应用在电子技术实验、自动控制系统和其他科研领域。

简易波形发生器

简易波形发生器

简易波形发生器一、实验目的1.掌握DAC0832和ADC0809的应用和编程方法。

2.熟悉几种典型波形的产生方法。

二、实验内容与要求利用微机实验平台编程实现一个波形发生器,可以产生正弦波、方波、三角波等各种波形,频率和幅度均可调。

1.基本要求(1)具有产生正弦波、方波、三角波三种周期性波形的功能。

(2)输出波形的频率范围为100Hz~1kHz,步进为100Hz。

(3)输出波形幅度范围1~5V(峰-峰值),可按步进1V(峰-峰值)调整。

(4)通过ADC0809采样DAC0832的输出,在屏幕上画出图形。

示波器查看波形发生器的输出和屏幕上的图形比较。

2.提高要求(1)增加输出波形的类型。

(2)扩展输出波形频率范围。

(3)减少幅度范围的步进量。

三、实验报告要求1.设计目的和内容2.总体设计3.硬件设计:原理图(接线图)及简要说明4.软件设计框图及程序清单5.设计结果和体会(包括遇到的问题及解决的方法)四、总体设计本次设计结合D/A和A/D转换,用键盘输入来选择DAC0832的输出波形,再通过ADC0809采集后在PC机上以图形方式显示。

实验主要利用实验箱上的DAC0832 、ADC0809和8253等硬件电路和PC机资源。

设计要求该波形发生器能产生正弦波、方波、三角波等形状的波形,频率和幅度可调。

不同的波形主要是由输入DAC0832的不同规律的数据,所以在软件设计是主要是构造各种波形的数据表格。

方波只需要控制输出高低电平的时间,三角波的表格可以由数字量的增减来控制,产生正弦波关于构造一个正弦函数数值表,通过查该函数表来实现波形的输出。

波形的频率控制是通过对输出数据的时间间隔控制。

幅度是通过改变输出数据的大小来控制的。

为了程序实现方便,可以把每种波形的数据表构造好,再统一查表来实现。

硬件由于采用了PC机的资源和微机实验平台,不用外加其他的电路,比较简单。

将微机系统里面的中断、8253、 DAC0832以及ADC0832的电路弄清楚,通过相应的跳线就可以完成电路的设计。

简易波形发生器的设计

简易波形发生器的设计

目录第一章单片机开发板 (1)1.1 开发板制作 (1)1.1.1 89S52单片机简介 (1)1.1.2 开发板介绍 (2)1.1.3 89S52的实验程序举例 (3)1.2开发板焊接与应用 (4)1.2.1开发板的焊接 (4)1.2.2开发板的应用 (5)第二章函数信号发生器 (7)2.1电路设计 (7)2.1.1电路原理介绍 (7)2.1.2 DAC0832的工作方式 (9)2.2 波形发生器电路图与程序 (10)2.2.1应用电路图 (10)2.2.2实验程序 (11)2.2.3 调试结果 (15)第三章参观体会 (16)第四章实习体会 (17)参考文献 (18)第一章单片机开发板1.1 开发板制作1.1.1 89S52单片机简介图1.1 89s52 引脚图如果按功能划分,它由8个部件组成,即微处理器(CPU)、数据存储器(RAM)、程序存储器(ROM/EP ROM)、I/O口(P0口、P1口、P2口、P3口)、串行口、定时器/计数器、中断系统及特殊功能寄存器(SF R)的集中控制方式。

各功能部件的介绍:1)数据存储器(RAM):片内为128个字节单元,片外最多可扩展至64K字节。

2)程序存储器(ROM/EPROM):ROM为4K,片外最多可扩展至64K。

3)中断系统:具有5个中断源,2级中断优先权。

4)定时器/计数器:2个16位的定时器/计数器,具有四种工作方式。

5)串行口:1个全双工的串行口,具有四种工作方式。

6)特殊功能寄存器(SFR)共有21个,用于对片内各功能模块进行管理、监控、监视。

7)微处理器:为8位CPU,且内含一个1位CPU(位处理器),不仅可处理字节数据,还可以进行位变量的处理。

8)四个8位双向并行的I/O端口,每个端口都包括一个锁存器、一个输出驱动器和一个输入缓冲器。

这四个端口的功能不完全相同。

A、P0口既可作一般I/O端口使用,又可作地址/数据总线使用;B、P1口是一个准双向并行口,作通用并行I/O口使用;C、 P2口除了可作为通用I/O使用外,还可在CPU访问外部存储器时作高八位地址线使用;D、P3口是一个多功能口除具有准双向I/O功能外,还具有第二功能。

简易波形发生器设计

简易波形发生器设计

简易波形发生器设计摘要本系统基于直接数字频率合成技术(DDFS )合成任意波形,由CPLD 和单片机系统构成,频率和幅度可以键控设定。

输出频率范围宽,步进小,频率和幅度的精度高。

可以输出各种基本波形它们的组合,具有扫频输出功能。

一. 方案设计方案一:采用间接合成技术,利用锁相环,将压控振荡器(VCO )的输出频率锁定在所需的频率上,该方案性能良好,但难以达到输出频率覆盖系数的要求,且由于锁相环本身是一个惰性环节,锁定时间长,故频率转换时间长。

电路也很复杂,最重要的是不能实现题目要求的任意波形。

图1—1—1 间接频率合成方案二:采用直接频率合成(DS )技术。

这种方法能实现快速频率变换,具有低相位噪声以及很高的工作频率。

但由于采用大量的倍频,分频,混频和滤波环节,导致该方法结构复杂,体积庞大,且易产生过多的杂散分量,难以达到较高的频率纯度。

该方案亦不能实现任意波形。

图1—1—2 直接频率合成方案三:采用直接数字频率合成技术(DDFS )用随机读写存储器RAM 存储所需波形的量化数据,按照不同频率要求以频率控制字K 为步进对相位增量进行累加,以累加相位值作为地址码读取存放在存储器内的波形数据,经D/A 转换和幅度控制,再滤波就得到所需波形。

—1—3 基于DDFS 频率合成基于DDFS 的频率合成原理框图如图1—1—3所示。

输出波形的一个完整周期的幅度值被顺序存放在波形存储器(可以是数据存储器或程序存储器)中。

当存储器的地址发生变化时,输出数据也将发生变化,通过D/A 输出电压波形,输出波形的频率与地址变化的快慢成正比。

在基准频率源的同步下,相位累加器每次累加频率控制字K ,相位累加器的低有效地址输出作为波形存储器的地址。

改变相位累加器的频率控制字K ,使得输出波形每个周期的点数改变,从而改变可输出频率。

假设基准频率源的输出频率为fs ,相位累加器为N 位(相位累加器的位数应满足大于波形存储器的位数),则输出频率为fo =fs K ∙2N (1—1—1)由式(1—1—1)可看出,改变K 值可改变输出频率,增加N 值可以减小频率步进。

波形发生器设计方案

波形发生器设计方案

波形发生器设计方案一、引言波形发生器是一种电子设备,用于产生具有特定频率、振幅和形状的电信号。

它在各种应用中广泛使用,例如科学实验、医疗设备和通信系统等。

本文将介绍一种波形发生器的设计方案。

二、设计原理波形发生器的设计原理是基于振荡电路。

振荡电路是一种能够稳定产生周期性信号的电路,通常采用反馈路径来实现。

在波形发生器中,我们将采用RC振荡电路作为基础。

三、设计步骤1. 选择合适的电路元件我们需要选择合适的电容和电阻来构建RC振荡电路。

根据所需的频率范围和精度要求,选取合适的元件。

2. 计算元件数值根据振荡电路的设计公式,计算所需的电容和电阻数值。

确保电容和电阻的数值可获得并满足设计需求。

3. 组装电路根据所选的电路元件和计算得到的数值,组装RC振荡电路。

确保元件的正确连接,并注意防止干扰和噪音。

4. 调试和优化连接电源后,使用示波器监测输出信号。

如果波形不满足设计要求,可以调整电容或电阻的数值进行优化。

四、特性和功能该波形发生器设计方案具有以下特性和功能:1. 频率可调性:通过调整电容或电阻的数值,可以实现不同频率的输出信号。

2. 波形形状可变性:根据实际需求,可以调整电路参数以产生正弦波、方波、矩形波等不同形状的输出信号。

3. 稳定性和精度:经过调试和优化后,该波形发生器能够稳定输出准确的波形信号。

五、应用领域本设计方案的波形发生器可应用于以下领域:1. 科学实验:在物理、化学等实验中,需要产生特定频率和形状的信号,用于测试和研究。

2. 医疗设备:在医疗设备中,波形发生器常用于心电图机、超声设备等,用于诊断和治疗。

3. 通信系统:在通信系统中,波形发生器被用于产生调制信号和时钟信号等,保证通信的稳定和可靠。

六、总结波形发生器是一种重要的电子设备,在多个领域中发挥着重要作用。

本文介绍了一种基于RC振荡电路的波形发生器设计方案,通过选择合适的元件、计算数值、组装电路和调试优化等步骤,可以实现频率可调、波形形状可变的输出信号。

LM324波形发生器

LM324波形发生器

大连海事大学电子线路课程设计题目:函数波形发生器专业班级:电子信息工程四班姓名:褚明笛学号:2220132198指导老师:张雅楠时间:基于LM324的简易波形发生器在电子系统中,经常要使用到方波、三角波等波形的波形信号产生电路,常用于产生各种电子信号,完成电子系统间的通信以及自动测量和自动控制等系统中。

本系统采用LM324集成运放芯片,外加电阻、电容等元器件调整、滤波,构成简易波形发生器。

该波形发生器具有效率高、体积小、重量轻,输出稳定,能产生方波、三角波和正弦波等电子信号,可以作为其它电子系统的信号发生模块电路。

目录1 方案设计与论证 (1)1.1 方案1 (1)1.2 方案2 (1)2 系统设计 (1)2.1 LM324芯片简介 (1)2.2 电路组成和工作原理 (2)2.3 电路设计与计算 (3)3 系统测试 (5)3.1 测试工具 (5)3.2 数据测试与结果分析 (5)3.3 测试结论 (5)4 设计结论 (7)参考文献 (7)1 方案设计与论证1.1 方案1采用ICL8038集成函数信号发生器芯片外加电阻、电容元件,构成波形发生电路。

ICL8038集成函数信号发生器芯片是一种多用途的波形发生器芯片,它可以用来产生正弦波、方波、三角波和锯齿波。

它的振荡频率可以通过外加的直流电压进行调节,是一种压控集成函数信号发生器。

虽然ICL8038集成函数信号发生器的功能强大,但是它的价格昂贵,而且市面上也较难买到。

如果用ICL8038芯片来制作简易波形发生器系统,则会大大增加系统的制作成本。

1.2 方案2采用LM324集成运放芯片,外加电阻、电容等元器件调整、滤波,构成简易波形发生器。

LM324是一种集成运算放大器芯片,它的内部有四个独立的运算放大器。

根据所学的知识,运算放大器可以构成滞回比较器、积分器和二阶有源低通滤波器电路,可以分别产生方波、三角波和正弦波。

依靠这些电路的组合,就可以制作成简易波形发生器电路。

单片机波形发生器设计

单片机波形发生器设计

单片机波形发生器设计一、引言波形发生器是一种电子测试仪器,用于产生各种形状的波形信号。

在电子设计和测试中,波形发生器是非常重要的工具,可以用于测试电子元器件的响应特性、检测电子电路的特性,以及用于故障分析和调试等。

本文将介绍一种基于单片机的波形发生器设计方案。

二、设计方案1.系统硬件设计本设计方案采用基于单片机的数字波形发生器,利用单片机的高速计数器和定时器功能,生成各种频率和形状的波形信号。

系统硬件主要包括以下几个部分:(1)单片机:选择一款具备高速计数器和定时器功能的单片机,如ATmega328P。

(2)时钟电路:提供单片机工作所需的稳定时钟信号。

(3)按键/旋钮:用于设置波形的频率和形状。

(4)显示器:用于显示当前波形的频率和形状。

(5)输出接口:提供波形信号的输出接口,以便连接到外部电路进行测试。

2.系统软件设计本设计方案采用C语言进行单片机程序的编写,使用单片机的定时器来生成各种频率的波形信号。

(1)初始化:设置单片机的引脚方向和初始化定时器。

(2)按键/旋钮检测:检测按键/旋钮的状态变化,并根据用户的操作进行相应的波形设置。

(3)波形生成:根据用户设置的频率和形状,在单片机的定时器中设置相应的计数值和自动重载值,以产生所需的波形信号。

(4)输出:将生成的波形信号通过输出接口输出到外部电路进行测试或其他应用。

三、系统性能分析1.频率范围:由于采用了单片机的高速计数器和定时器功能,所以波形发生器的频率范围可以较广,通常可以覆盖几赫兹到几千兆赫兹的范围。

2.波形形状:由于使用了单片机的计时器功能,所以可以生成多种形状的波形信号,如正弦波、方波、三角波等。

3.稳定性:由于采用了稳定的时钟电路,所以波形发生器的频率稳定性较高,误差较小。

4.精确度:由于采用了单片机的高速计数器和定时器功能,所以波形发生器的频率和相位精度较高。

四、总结本文介绍了一种基于单片机的波形发生器设计方案。

该方案通过利用单片机的计数和定时器功能,可以生成各种形状和频率的波形信号,具备较高的稳定性和精确度。

简易DDS波形发生器设计

简易DDS波形发生器设计

实验二简易DDS波形发生器设计084775116 马丽丽084775117 潘奕颖一、实验目的:进一步熟悉绘制ASM图分析实验的方法;进一步掌握将VHDL程序符号化的使用方法;熟悉ROM表的制作与符号化;了解DDS波形发生器的基本原理。

二、实验内容:利用FPGA和DAC,设计一个简易的DDS波形发生器并发出正弦波。

三、设计要求:1.分辨率优于1Hz;2.ROM表长度8位,位宽10位;3.输出频率优于100kHz(每个周期数据点大于50);4.显示信号频率/频率控制字(可切换);5.直接输入频率控制字或输出频率。

四、实验仪器:计算机1台;QUARTUS II软件一套;试验箱1台。

五、方法步骤:1.绘制ASM图;阅读实验要求,分析实验过程,然后绘制ASM图如下页:2. 建立一个工程;打开QUARTUS II 软件,Files → New Project Wizard → 选择储存路径→ next → next → 选择芯片Cyclone II EP2C5T144C8 → next → finish 。

3. 编写累加器的VHDL 文件,并将其转换成图形文件;①Files → New → VHDL File → 开始编写程序; ②经过编写后,进行编译(Tools → Compiler Tool →Strart),改错,再编译,改错直至编译成功,保 存文件名为“dds1.vhd ”;程序如下:library ieee;library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity dds1 isport(m:in std_logic_vector(19 downto 0);cp,r:in std_logic;q:out std_logic_vector(7 downto 0));end dds1;architecture z of dds1 issignal t:std_logic_vector(22 downto 0);signal n:std_logic_vector(22 downto 0);beginprocess(cp)beginn<="000"&m;if cp'event and cp='1' thenif r='1' thent<="00000000000000000000000";elsif t+n>8388607 thent<="00000000000000000000000";elset<=t+n;end if;end if;q<=t(22 downto 15);end process;end z;③编译通过以后,File → Create/Update → Create Symbol Files For Current File。

简易波形发生器

简易波形发生器

题目:简易波形发生器的设计设计理念:利用单片机AT89C51采用程序设计方法产生锯齿波、正弦波、矩形波,三角波四种波形,再通过D/A转换器DAC0832将数字信号转换成模拟信号,滤波放大,最终由示波器显示出来。

通过键盘来控制四种波形的类型选择,使用按键开关调节频率变化,并通过数码管显示,系统大致包括信号发生部分、数/模转换部分以及数码管显示部分三部分,其中尤其对数/模转换部分和波形产生和变化部分进行详细论述。

1.信号发生电路方案论证方案一:通过单片机控制D/A,输出四种波形。

优点:此方案电路简单、成本低。

缺点:输出的波形不够稳定,抗干扰能力弱,不易调节。

方案二:使用锁相频率合成方法。

通过芯片IC145152,压控振荡器搭接的锁相环电路输出稳定性极好的正弦波,再利用过零比较器转换成方波,积分电路转换成三角波。

缺点:此方案,电路复杂,干扰因素多,不易实现。

方案三:利用MAX038芯片组成的电路输出波形。

MAX038是精密高频波形产生电路,能够产生准确的三角波、方波、正弦波三种周期性波形。

但此方案成本高,程序复杂度高。

以上三种方案综合考虑,选择方案一。

2.单片机的选择论证方案一:AT89C51单片机是一种高性能8位单片微型计算机。

它把构成计算机的中央处理器CPU、存储器、寄存器、I/O接口制作在一块集成电路芯片中,从而构成较为完整的计算机,而且其价格便宜。

方案二:C8051F005单片机是完全集成的混合信号系统级芯片,具有与8051兼容的微控制器内核,与MCS-51指令集完全兼容。

除了具有标准8052的数字外设部件,片内还集成了数据采集和控制系统中常用的模拟部件和其他数字外设及功能部件,而且执行速度快。

但其价格较贵以上两种方案综合考虑,选择方案一。

3.显示方案论证方案一:采用LED数码管。

LED数码管由8个发光二极管组成,每只数码管轮流显示各自的字符。

使用数码管显示编程较易。

方案二:采用LCD液晶显示器1602。

单片机课程设计--简易波形发生器

单片机课程设计--简易波形发生器

单片机课程设计--简易波形发生器电气与电子信息工程学院《单片机》课程设计报告题目:简易波形发生器专业班级:电气学号: 123456姓名:王刚指导教师:胡蔷、汤立刚设计时间:2013年12月9日—2013年12月13日设计地点: K2-407单片机、微机原理实验室2013年11月20日单片机课程设计成绩评定表答辩或质疑记录:1、该设计能产生几种波形?分别是哪几种?答:能产生4种波形,三角波,方波,锯齿波以及正弦波。

2、DAC0832有几种工作方式?哪几种?各有什么特点?该设计中DAC0832用的是哪一种方式?答:3种,单缓冲方式、双缓冲方式以及直通方式:(1)单缓冲方式此方式适用于只有一路模拟量输出或几路模拟量非同步输出的情形。

方法是控制输入寄存器同时接收数据,或者只用输入寄存器而把DAC寄存器接成直通方式。

(2)双缓冲方式此方式适用于多个DAC0832同时输出的情形。

方法是先分别使这些DAC0832的输入寄存器接收数据,再控制这些DAC0832同时传送数据到DAC寄存器以实现多个D/A转换同步输出。

〔3〕直通方式此方式适用于连续反馈控制线路中。

方法是:数据不通过缓冲器,即-WR1,-WR2, -XFER, -CS 均接地,ILE接高电平。

此时必须通过I/O接口与微处理器连接,以匹配微处理器与D/A的转换。

该设计中DAC0832用的是单缓冲方式。

成绩评定依据:课程设计考勤情况(5%):课程设计仿真测试情况(15%)课程设计答辩情况(30%):完成设计任务及报告规范性(50%):最终评定成绩(以优、良、中、及格、不及格评定)指导教师签字:2013 年12 月日课程设计任务书2013~2014 学年第 1 学期专业班级:电气指导教师:胡蔷汤立刚工作部门:电气与电子信息工程学院电气自动化教研室一、课程设计题目单片机课程设计二、课程设计内容(含技术指标)1.设计目的及要求(1)根据具体设计课题的技术指标和给定条件,以单片机为核心器件,能独立而正确地进行方案论证和电路设计,完成仿真操作。

简易波形发生器讲诉

简易波形发生器讲诉

南京工程学院实习报告题目课程名称院(系、部、中心)专业班级学生姓名学号设计地点起止日期指导教师目录一、设计任务 (3)二、总体方案设计 (3)三、系统模块详细设计与调试 (4)四、设计总结 (11)五、参考文献 (12)六、附件材料 (12)一、设计任务1、设计能够至少发生方波、三角波、锯齿波三种波形的发生器;2、通过按键进行波形之间的切换;3、波形de 频率和幅度能够通过按键进行更改;4、根据自己的想法设计其它功能。

二、总体设计方案本设计所用到的硬件模块有:1、基本模块,即STC89C52单片机;2、DAC0832数模转换模块;3、按键模块;4、示波器或万用表用来检测。

所采用的开发板为TX-1C型开发板,其中所用到的用硬件模块如下原理图所示。

由于受限于开发板IO口设计,本方案仅采用S2,S3,S5按键进行操作,其中S2按键用于切换波形,S3按键用于切换每个波形的幅值,S5按键用于切换波形的频率大小。

DAC032的输入口为P0口,输出口为0~+5V,通过LED接于GND。

三、系统模块详细设计与调试1.各功能电路设计(1)STC89C52单片机。

作为控制模块,其P0口作为DAC0832的输入端,P3.2口为DAC0832的片选信号输入端,P3.6口为写选通信号输入端。

由于P0口同时也是实验板数码管的输入端,因此在对P0进行赋值输出之前应将数码管的段选端P2.6和片选端P2.7封锁使得发生波形时数码管没有乱码。

(2)DAC0832数模转换模块DAC0832 为8位分辨率A/D转换芯片,其最高分辨可达256级,可以适应一般的模拟量转换要求。

其内部电源输入与参考电压的复用,使得芯片的模拟电压输入在0到5V之间。

据有双数据输出可作为数据校验,以减少数据误差,转换速度快且稳定性能强。

独立的芯片使能输入,使多器件挂接和处理器控制变的更加方便。

通过DI 数据输入端,可以轻易的实现通道功能的选择。

转换方式共三种,即直通式、单缓冲式和双缓冲方式。

波形发生器设计方案

波形发生器设计方案

波形发生器设计方案1. 简介波形发生器是一种用于产生各种波形信号的电子设备。

波形发生器广泛应用于电子实验、通信、测试等领域,具有重要的实际意义。

本文将介绍一个基于数字技术的波形发生器设计方案。

2. 设计原理波形发生器的设计原理是基于数字信号处理技术的。

主要包括以下几个步骤:1.选择合适的数字信号处理器(DSP)芯片作为波形发生器的核心处理器。

DSP芯片具有强大的数学运算能力和高速数据处理能力,适合用于波形生成。

2.实现波形发生器的数字信号处理算法。

根据需求,可以选择正弦波、方波、三角波等常见的波形形式。

具体的算法实现可以利用DSP芯片提供的数学运算指令和运算库来完成。

3.将数字信号处理器与外部模拟电路相连。

使用模数转换器(ADC)将DSP芯片生成的数字信号转换为模拟信号,然后通过低通滤波器进行滤波处理,最后输出所需的波形信号。

3. 设计步骤步骤一:选择合适的DSP芯片根据波形发生器的性能要求,选择一款功能强大的DSP芯片作为波形发生器的核心处理器。

考虑芯片的计算能力、存储容量、接口类型等因素。

步骤二:实现波形生成算法根据需求,在选择的DSP芯片上开发波形生成算法。

可以使用C语言或者汇编语言来编写算法代码。

常见的波形生成算法包括:•正弦波生成算法:利用正弦函数的周期性特点,通过离散化计算得到正弦波的采样值。

•方波生成算法:通过周期性地改变正负值来生成方波的采样值。

•三角波生成算法:通过线性函数的斜率逐渐增大或减小来生成三角波的采样值。

步骤三:连接外部模拟电路将DSP芯片与外部模拟电路相连。

使用模数转换器将DSP芯片生成的数字信号转换为模拟信号。

选择合适的ADC芯片,并配置相应的通信接口。

步骤四:滤波处理与输出通过低通滤波器对模拟信号进行滤波处理。

滤波器的设计要考虑去除数字信号的高频成分,保留所需波形的频谱特性。

最后,将滤波后的信号输出到波形发生器的输出端口。

4. 总结本文介绍了一种基于数字技术的波形发生器设计方案,通过选择合适的DSP芯片、实现波形生成算法、连接外部模拟电路和滤波处理与输出等步骤,可以实现高性能、多种波形的波形发生器。

简易波形产生器 (1)

简易波形产生器 (1)

引言波形发生器又称为振荡器,它不需要输入信号的激励,电路通过正反馈,将直流电源的能量转换为各种稳定的、随时间周期性变化的交流信号的能量而输出。

即没有输入就有输出,根据输出信号波形的不同,分为正弦波振荡器和非正弦波振荡器两大类。

波形发生器是一种广泛应用于电子电路、自动控制和科学实验等领域的信号源。

比如电参量的测量、雷达、通信、电子对抗与电子系统、宇航和遥控遥测技术等等。

RC桥式正弦波振荡电路产生正弦波,正弦波频率可通过调节电阻R 及电容C实现100HZ—20KHZ的变换,再通过电压跟随器输出正弦波。

正弦波通过过零比较器,整形为方波,同样经过电压跟随器输出方波。

方波通过积分运算电路,整形为三角波。

1 简易波形发生器原理级框图1.1 基本原理RC桥式正弦波振荡电路产生正弦波,正弦波频率可通过调节电阻R 及电容C实现100HZ—20KHZ的变换,再通过电压跟随器输出正弦波。

正弦波通过过零比较器,整形为方波,同样经过电压跟随器输出方波。

方波通过积分运算电路,整形为三角波。

1.2 原理框图本课题采用的是正弦波发生器产生正弦波信号,然后用过零比较器产生方波,再经过积分电路产生三角波,其电路框图如图1.1所示。

正弦波发生器方波三角波正弦波过零比较器积分器图1.12 正弦波发生电路2.1 正弦波振荡器原理和结构正弦波振荡器由一个基本放大器和一个带有选频功能的正反馈网络组成,它没有输入信号。

如果在放大电路的输入端外接一定频率、一定幅度的正弦波信号,经过基本放大电路和反馈网络所构成的环路传播后,在反馈网络输出端,得到反馈信号.fX ,如果.f X 与.i X 在大小和相位上都一致,那么就可以除去外接信号,如图2.1所示形成闭环系统,其输出端可能继续维持与开环时一样的输出信号。

图 2.12.2 产生振荡的条件2.2.1振荡平衡条件由于输入信号为零,所以..fi XX =便有...oi X A X =,...f o XF X =..1A F =在上式中,设.a A A ϕ=∠, .f F F ϕ=∠则 ..()1a f AF AF ϕϕ=∠+=即:1AF = ………………………………………振幅平衡条件2(0,1.2a f n n ϕϕπ+==…)…………………………相位平衡条件一个振荡器只有同时满足这两个条件,才能振荡。

单片机波形发生器设计

单片机波形发生器设计

单片机波形发生器设计引言:波形发生器是一种电子仪器,可用于产生不同类型的电子波形。

在电子系统设计和实验中,波形发生器起着至关重要的作用。

传统的波形发生器通常有很多旋钮和开关,而现代的波形发生器则大多通过单片机或其他微控制器来实现。

本文将介绍如何通过单片机设计一个简单的波形发生器。

设计方案:1.硬件设计:单片机选择常见的8051系列单片机,因为其性能稳定、功能强大且易于编程。

可以使用Keil等集成开发环境进行程序编写。

电路主要由单片机、晶振、电源电路、按键和LCD显示屏组成。

2.基本波形发生:首先,我们需要设计一个能够产生基本波形的波形发生器。

单片机通过PWM(脉宽调制)技术来实现波形发生。

通过改变脉冲的占空比,可以产生不同频率的方波。

通过将方波依次通过RC滤波电路和运算放大器,可以得到正弦波和三角波。

运算放大器可以选择常见的OPA2134等。

3.频率调节和触发方式:波形发生器需要能够实现频率的调节和触发方式的选择。

频率的调节可以通过旋钮或按键来实现。

可以通过改变控制单片机的定时器参数来改变频率。

触发方式可以选择为外部触发或内部触发,通过开关来实现切换。

4.显示:为了方便用户观察波形,我们可以在电路中添加LCD显示屏。

通过编写程序,可以在显示屏上实时显示波形的参数和波形形状。

5.扩展功能:在基本波形发生器的基础上,可以进一步扩展功能。

例如,可以添加DAC芯片,实现更精确的波形输出。

还可以通过增加存储器,实现波形的存储与回放。

另外,还可以添加数字接口,实现与计算机的通信和控制。

总结:通过单片机设计的波形发生器具有灵活性和可扩展性强的优点。

通过改变软件程序,可以实现不同类型的波形输出,满足不同实验和设计的需求。

注:本文中字数未满1200字,请根据实际需要进行补充。

基于LM324的简易波形发生器

基于LM324的简易波形发生器

目录摘要 (1)一、课程设计的目标和设计的任务 (1)1.1设计培养的目标 (1)1.2设计任务 (1)1.3课程设计的要求及技术要求 (2)二、电路设计原理方案及电路图 (2)2.1设计方案及电路图 (2)2.2Multisim 仿真结果 (3)三、电路板的制作 (4)四、电路的安装与调试 (4)五、波峰焊、回流焊 (5)5.1波峰焊 (5)5.2回流焊 (6)六、心得体会 (6)附录:仪器仪表及元件清单 (7)摘要在电子系统中,经常要使用到方波、三角波等波形的波形信号产生电路,常用于产生各种电子信号,完成电子系统间的通信以及自动测量和自动控制等系统中。

本系统采用LM324集成运放芯片,外加电阻、电容等元器件调整、滤波,构成简易波形发生器。

该波形发生器具有效率高、体积小、重量轻,输出稳定,能产生方波、三角波和正弦波等电子信号,可以作为其它电子系统的信号发生模块电路。

一、课程设计的目标和设计的任务1.1设计培养的目标1、总体目标:本课程的目标是让学生在掌握模拟和数字电子技术的基础上,通过典型实践题目的设计与实现,使其加深对模拟和数字电子技术知识的理解,初步掌握现代电子系统的设计方法和调试方法,培养分析、解决实际问题的能力,提高工程设计的技能。

2、知识目标:(1)熟悉各种模拟电路和数字电路的内容;(2)按要求完成整个电路的分析和设计;(3)对整个系统制作和调试;3、能力目标:(1)能熟练掌握操作万用表、信号发生器、示波器、稳压电源等常用电子仪器仪表;(2)能熟练查阅常用电子元器件和芯片的规格、型号等资料;(3)能熟练运用线路板设计软件制作电路图;(4)完成电路板制作和硬件连接,并学会排错、解决故障;1.2设计任务在电子系统中,经常要使用到方波、三角波等波形的波形信号产生电路,常用于产生各种电子信号,完成电子系统间的通信以及自动测量和自动控制等系统中。

本系统采用LM324集成运放芯片,外加电阻、电容等元器件调整、滤波,构成简易波形发生器。

单片机课程设计--简易波形发生器

单片机课程设计--简易波形发生器

单片机课程设计--简易波形发生器电气与电子信息工程学院《单片机》课程设计报告题目:简易波形发生器专业班级:电气学号: 123456姓名:王刚指导教师:胡蔷、汤立刚设计时间:2013年12月9日—2013年12月13日设计地点: K2-407单片机、微机原理实验室2013年11月20日单片机课程设计成绩评定表答辩或质疑记录:1、该设计能产生几种波形?分别是哪几种?答:能产生4种波形,三角波,方波,锯齿波以及正弦波。

2、DAC0832有几种工作方式?哪几种?各有什么特点?该设计中DAC0832用的是哪一种方式?答:3种,单缓冲方式、双缓冲方式以及直通方式:(1)单缓冲方式此方式适用于只有一路模拟量输出或几路模拟量非同步输出的情形。

方法是控制输入寄存器同时接收数据,或者只用输入寄存器而把DAC寄存器接成直通方式。

(2)双缓冲方式此方式适用于多个DAC0832同时输出的情形。

方法是先分别使这些DAC0832的输入寄存器接收数据,再控制这些DAC0832同时传送数据到DAC寄存器以实现多个D/A转换同步输出。

〔3〕直通方式此方式适用于连续反馈控制线路中。

方法是:数据不通过缓冲器,即-WR1,-WR2, -XFER, -CS 均接地,ILE接高电平。

此时必须通过I/O接口与微处理器连接,以匹配微处理器与D/A的转换。

该设计中DAC0832用的是单缓冲方式。

成绩评定依据:课程设计考勤情况(5%):课程设计仿真测试情况(15%)课程设计答辩情况(30%):完成设计任务及报告规范性(50%):最终评定成绩(以优、良、中、及格、不及格评定)指导教师签字:2013 年12 月日课程设计任务书2013~2014 学年第 1 学期专业班级:电气指导教师:胡蔷汤立刚工作部门:电气与电子信息工程学院电气自动化教研室一、课程设计题目单片机课程设计二、课程设计内容(含技术指标)1.设计目的及要求(1)根据具体设计课题的技术指标和给定条件,以单片机为核心器件,能独立而正确地进行方案论证和电路设计,完成仿真操作。

单片机课程设计简易波形发生器

单片机课程设计简易波形发生器

单片机课程设计简易波形发生器波形发生器是电子实验中经常使用的一种仪器,它能够产生各种不同形式的周期信号。

在单片机课程设计中,我们可以通过编写程序控制单片机来实现一个简易的波形发生器。

本文将介绍使用单片机实现波形发生器的设计思路和实现过程。

首先,我们需要确定需要实现的波形类型。

常见的波形类型包括正弦波、方波、三角波等。

在本设计中,我们将选择实现方波和三角波两种波形。

其次,我们需要确定单片机的硬件资源。

根据波形发生器的要求,我们需要使用单片机的数模转换功能,将数字信号转换为模拟信号输出。

因此,我们需要选择一个具有这一功能的单片机。

在确定了波形类型和硬件资源后,我们可以开始编写程序。

首先,我们需要编写一个初始化函数,用于初始化单片机的相关寄存器和引脚设置。

然后,我们需要编写一个生成方波的函数。

方波信号是一个固定频率的矩形信号,其周期可通过设置定时器的计数值和频率来实现。

我们可以通过控制输出引脚的高低电平来生成方波信号。

接下来,我们需要编写一个生成三角波的函数。

三角波信号是一个类似于正弦波的周期信号,其产生过程可以通过一个计数器和一个增减状态位来实现。

通过控制计数器的递增和递减,我们可以得到一个周期为正弦波信号的三角波信号。

最后,我们需要在主函数中调用这些函数,以及设置相应的延时函数,来实现波形信号的输出。

在输出信号时,我们可以通过设置引脚的电平来控制波形的高低电平。

在实际的实验中,我们可以通过连接示波器来观察并验证所产生的波形信号。

根据波形的输出结果,我们可以调整相应的参数,如频率、周期等,以获得所需的波形效果。

总结起来,通过单片机实现一个简易的波形发生器是一个很有趣的课程设计项目。

通过控制单片机的计数器和引脚状态,我们可以实现方波和三角波等不同形式的周期信号输出。

这不仅有助于理解波形发生器的工作原理,还可以提升对单片机编程和硬件控制的技能。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
2.2 硬件设计
根据设计要求,可以考虑四种波形切换,用两个开关的四种状态来实现。需要两根口线,如果用按钮来切换波形就只需要一根I/O线,而且使用也方便一些。另外,波形频率的改变是通过电位器输入电压来实现的,所以需要一个模拟量输入,选用常用的A/D转换器芯片0809可以满足要求。波形输出是通过D/A转换器实现的,可以选用D/A专用芯片0832来完成。这样系统的主要器件就确定了。其系统原理图如图1所示。
DB 1,2,5,10,15,21,29,37,47,57,67,79,90,103,115,128
2.三角波
三角波的产生较为简单,因为它的上升沿遵循数据加1的规律。下降沿则按数据减1的规律产生。所以在波形的上升沿只要判断上一次的数据是否为最大值FFH,如果不是最大值,将原数据加1输出;而在波形的下降沿只要判断上一次数据是否为0,如果不是0,则将原数据减1即可,当数据为FFH或0时,应当及时调整升降标志,以便下一次能输出正确的数据。根据上述编程思想绘制的三角波程序框图如图3所示。
为了将这六个数顺次输出,可以采用列表或将原数加50再判断这两种方式。采用后者输出数据的阶梯波程序框图如图4所示。
5.频率控制
每种波形输出一个数据后程序都转到程序控制部分,各种波形的频率就是通过这一部分控制的。它的控制原理是首先读出0809的A/D转换值,并以此为基值延时,延时完毕后再启动0809开始采样模拟电压,为下一次读数做准备。当然,也可以隔几秒钟进行一次A/D转换,这样要用到定时器中断。若直接将A/D转换值作为延时基数去延时,则频率的变换范围有限。若将A/D转换值乘以一个倍率再去延时,虽然可扩大频率的变化范围,但波形的失真会明显增大。
1.2 设计的内容、要求
设计一个简易波形发生器,要求该系统能通过开关或按钮有选择性的输出正弦波、三角波、方波、及阶梯波等四种波形,并且这四种波形的频率均可通过输入电位器在一定范围内调节。
对于四种波形的切换,用两个开关的四种状态来表示(或用按钮)。选用常用的A/D转换芯片0809来实现模拟量的输入。D/A转换器选用0832来输出波形。
3.方波
方波只有两个值,可以采用两个极端值0和FFH,这样只要将缓冲区中的数取出求反后输出即可。设计者可据此直接写出方波程序。
4.阶梯波
阶梯波也是一种很有用的波形,例如,在测晶体管的特性参数时就要用到它。阶梯的设计一般根据实际需要,在这里假定设置五级阶梯,可以将缓冲区中的数据增加到50后输出,如初值为0,则输出的数就是0、50、100、150、200及250这六个数。
关键词:中央处理器;随机存储器;只读存储器
引言:
1设计概述
1.1 课程设计的目的
通过对本课题的设计,掌握A/D,D/A转换的应用,用单片机产生各种波形的方法及改变波形频率的方法。熟悉单片机应用系统的设计以及软硬件的调试。单片机本身并没有开发能力,必须借助开发工具即硬件开发环境才能进行开发。单片机的硬件开发环境有PC机、编程器和仿真机等。
两周的课程设计,我加深了对单片机的了解与应用,学会了如何把理论应用于实际,如何用我们所学的知识去解决正常生活中的问题。在当今科技发展如此迅速的时代,计算机已经成为了必不可少的工具,它无处不在,学习掌握计算机的技能已成为一项必修课,想要在这个社会有一立足之处,就必须学好计算机,能准确的掌握计算机知识,并熟练的贯彻到生活之中。
cjne a,#0ffh,zad
setb 0
ajmp zad
down:
mov dptr,#8000h
movx @dptr,a
dec a
mov 11h,a
cjne a,#0,zad
clr 0
ajmp zad;三角波程序结束
wave3:;方波程序
mov dptr,#8000h
mov a,12h
movx @dptr,a
图1 硬件连线图
3详细分析
根据硬件设计,系统用按钮切换波形,可考虑采用查询或中断方式进行,这两种方式比较起来后者效率更高。若采用查询方式检测按钮,则系统需花费时间去定期检测P3.3口管脚电位,这样就增加了软件开销,降低了效率,因此采用中断方式编程比较理想。其编程思想是安排一个存储单元存放按钮次数,初值设为0,对应于某种波形,每中断一次数据加1,以对应另一种波形。因此中断程序的任务仅仅是通过改变按钮的次数来存放单元的数据而已,波形转换在主程序中进行。各种波形的输出频率是通过改变两次输出数据之间的时间间隔来实现的。具体做法是首先对模拟量进行采样,得到相应的A/D值用这个A/D值作为延时基数进行延时,这样输出波形的频率就和模拟电压联系起来,只要调整电位器旋钮改变输入电压模拟量,就可以改变波形频率。主程序框图和中断程序框图如图2所示。
4程序的调试与运行
完成硬件制作后,可将整个系统分成几个部分,先编出四种波形的程序,单独调试,成功后再加入频率调节部分。采用图2-2-1所示参数,正弦波的最高输出频率可大于200HZ,方波的最高输出频率在4KHZ左右,如果12MHZ晶振,则各种波形的最高输出频率可提高1倍。另外,按钮的抗干扰问题在编程时要加以考虑,由于图2-2-1所示电路中没有加硬件消除按钮抖动电路,所以必然要增加软件开销。一般开关、按钮的抖动在几毫秒时间以内,因而用延时程序避开10ms左右的时间即可防止按一次按钮产生多次中断的现象。为了节省硬件,0809的转换完成信号端EOC直接接到了单片机外部中断0输入端P3.2上。由于中断逻辑不匹配,因而不能用中断的方式读取A/D转换结果。最后,在程序统调时要借助调试工具采用单步和断点及连续运行的方式反复调试程序,迅速找出问题所在,及时修改,直至程序运行成功为止。
cjne a,#63,w11
mov 10h,#0;指针回0
ajmp w12
w11: inc a;调整指针
mov 10h,a;正弦波程序结束
w12:
ajmp zad
wave2:;三角波程序
mov a,11h
jb 0,down
mov dptr,#8000h
movx @dptr,a
inc a
mov 11h,a
结论
通过这次毕业设计,使我得到了一次用专业知识、专业技能分析和解决问题全面系统的锻炼。使我在单片机的基本原理、单片机应用系统开发过程,以及在常用编程设计思路技巧(特别是汇编语言)的掌握方面都能向前迈了一大步,为日后成为合格的应用型人才打下良好的基础。正所谓纸上得来终觉浅,绝知此事要躬行。
课程设计体会
2概要分析
2.1 方案论证
一般函数发生器是由硬件组成的,它的输出频率范围宽,各项指标高,性能优良,因而在对输出波形要求较高的地方被广泛应用,这种仪器的缺点是电路复杂,成本高,输出波形种类不多,不够灵活。在对波形指标要求不高,频率要求较低的场合,可以用单片机构成一个波形发生器。产生所需要的各种波形,这样的函数发生器靠软件产生各种波形,小巧灵活,便于修改,且成本低廉,容易实现。但受单片机工作频率的限制,它所构成的波形发生器的输出频率较低,各项指标也都不太高,只能用于波形要求不高的场合。
设计目的:用单片机产生各种波形及改变波形频率
设计目标:设计一个简易波形发生器,要求该系统能通过开关或按钮有选择性的输出正弦波、三角波、方波、及阶梯波等四种波形,并且这四种波形的频率均可通过输入电位器在一定范围内调节
任务下达时间:2010年3月1日
任务完成时间:2010年3月11日
指导教师评语:
年月日
jnb p3.2 ,$;没转换完,等待
movx a,@dptr
jz skip
djnz acc,$ ;根据A/D转换值延时
skip: movx @dptr,a ;启动0809
ljmp sign ;频率控制程序结束
int_x1:push acc ;外部中断1中断子程序
acall delay
jb p3.3,wexit
TAB:DB 140,152,164,176,188,198,208,218,226,234,240,245,253,254,255
DB 254,253,245,240,234,226,218,208,198,188,176,164,152,140,128
DB 115,103,90,79,67,57,47,37,29,21,15,10,5,2,1,0
设计成绩:
摘要:单片机主要面对的是测控对象,突出的是控制功能,所以它从功能和形态上来说都是应测控领域应用的要求而诞生的。随着单片机技术的发展,它在芯片内部集成了许多面对测控对象的接口电路,如ADC、DAC、高速I/O接口、脉冲宽度调制器(Pulse Width Modulator, PWM)、监视定时器(Watch Dog Timer,WDT)等。这些对外电路及外设接口已经突破了微型计算机传统的体系结构,所以单片机也称为微控制器(Micro Controller)。
mov ie,84h
clr it0
clr 0;上升标志
mov r4,#0
mov 10h,#0;正弦波数据指针
mov 11h,#0;三角波初值
mov 12h,#0;方波初值
mov 13h,#0;梯形波初值
sign: mov a,r4
rl a
mov dptr,#base
jmp @a+dptr
base: ajmp wave1;转正弦波程序
下面分析各种波形的产生原理。
1.正弦波
正弦波可用两种方法,即计算法和查表法产生。计算法要用浮点运算,复杂且耗时太长,一般不采用。查表法是事先将正弦波的数据计算出来,列表放在程序中,运行时直接调取数据。用公式y=127.5+127.5sin(360n/m)可计算出正弦波的输出值,公式中的m为输出点数,n=1,2,…m。m值取小一些可以提高波形频率,但波形畸变会增大,增加输出点虽然可以改善波形,但输出频率会降低,实践表明,m取64时,可以得到很好的正弦波。用上式计算的正弦波如下(有舍入误差),将它放在程序中调用即可。
相关文档
最新文档