合肥工业大学EDA实验报告
合工大EDA课程实验报告
课程设计任务书(2012 ~2013 第三学期)设计题目:EDA与数字系统课程设计学院名称:电气与自动化工程学院专业(班级):自动化11-1班姓名(学号):雷锋7474741起始日期:2013年7月 1日-2012年 7月30日指导教师:刘春朱维勇系(教研室)负责人:刘春朱维勇目录一、中文摘要 (03)二、外文摘要(Abstract) (04)三、设计正文 (06)实验一 Max+Plus2使用练习 (06)实验二 3-8译码器 (07)实验三用74161实现十进制加法计数器 (08)实验四六十进制加法计数器 (09)实验五数码管显示0-9、A-B (10)实验六两个数码管分别显示1-12和0-59计数 (11)四、设计题目:多功能数字钟的设计 (12)1、设计要求..........................................12.2、设计原理 (12)3、设计内容 (13)a.时钟进位模块 (14)b.校准、定时输入 (15)c. 闹钟选择模块 (15)d. 显示切换模块......... .. (16)e. 数据比较器... . (16)f.数码管扫描显示模块 (16)五、结论 (17)六、谢辞 (18)七、参考文献 (18)八、附件1:合肥工业大学课程设计任务书 (19)九、附件2:答辩成绩评定书 (20)中文摘要了解各种PLD器件的基本结构,掌握MAX+Plus2的使用方法用图形输入法和Verilog HDL完成规定的基本练习题,在此基础上完成一个数字系统设计题的设计、仿真、下载(FPGA实现)。
MAX+plusⅡ(Multiple Array and Programming Logic User System)开发工具是美国Altera公司推出的一种EDA工具,具有灵活高效,使用便捷,易学易用的特点。
EDA是电子设计自动化(Electronic Dedign Automation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。
eda技术实验报告
EDA技术实验报告1. 背景介绍EDA(Exploratory Data Analysis)是指探索性数据分析,是数据科学和机器学习中一项重要的任务。
通过EDA技术,我们可以对数据集进行可视化和统计分析,从而深入了解数据的特征和结构,为后续的数据处理和建模提供指导。
2. 实验目的本实验旨在通过使用EDA技术来分析一个给定的数据集,并从中获取有价值的信息。
通过实践,我们将深入了解EDA技术的应用和优势。
3. 实验步骤步骤1:导入数据首先,我们需要将实验所需的数据导入到Python的数据分析库中。
我们可以使用pandas库读取数据集,并将其存储为DataFrame对象,以便后续的分析和处理。
import pandas as pd# 读取数据集data = pd.read_csv('data.csv')步骤2:数据概览在进行数据分析之前,我们先要对数据进行整体的了解。
我们可以通过以下几个步骤来获取数据的概览信息:1.查看数据的前几行,了解数据的结构和格式。
data.head()2.查看数据的基本统计信息,包括均值、标准差、最小值、最大值等。
data.describe()3.检查数据中是否存在缺失值或异常值。
data.isnull().sum()步骤3:数据可视化EDA技术的核心之一是数据可视化。
通过可视化数据,我们可以更直观地理解数据的分布和关系。
下面是几种常用的数据可视化方法:1.直方图:用于展示数值型数据的分布情况,可以帮助我们了解数据的集中趋势和离散程度。
data['column'].plot.hist()2.散点图:用于展示两个数值型变量之间的关系,可以帮助我们发现数据的相关性。
data.plot.scatter(x='column1', y='column2')3.条形图:用于展示类别型数据的分布情况,可以帮助我们比较不同类别之间的差异。
EDA实验报告(12份).pdf
实验一组合电路的设计1. 实验目的:熟悉MAX + plus II 的VHDL 文本设计流程全过程,学习简单组合电路的设计、多层次电路设计、仿真和硬件测试。
2. 实验内容:设计一个2选1多路选择器,并进行仿真测试,给出仿真波形。
3. 实验程序如下:library ieee;use ieee.std_logic_1164.all; entity mux21a isport(a,b,s:in std_logic;y:out std_logic); end entity mux21a; architecture one of mux21a is beginy<=a when s='0' else b ; end architecture one ;4. 仿真波形(如图1-1所示)图1-1 2选1多路选择器仿真波形5. 试验总结:从仿真波形可以看出此2选1多路选择器是当s为低电平时,y输出为b, 当s为高电平时,y输出为a(y<=a when s='0' else b ;),完成2路选择输出。
实验二时序电路的设计1. 实验目的:熟悉MAX + plus II VHDL文本设计过程,学习简单的时序电路设计、仿真和测试。
2. 实验验内容:设计一个锁存器,并进行仿真测试,给出仿真波形。
3. 实验程序如下:library ieee;use ieee.std_logic_1164.all;entity suocun7 isport(clk: in std_logic;en: in std_logic;D: in std_logic_vector(7 downto 0);B:out std_logic_vector(7 downto 0)); end suocun7;architecture one of suocun7 issignal K: std_logic_vector(7 downto 0); beginprocess(clk,en,D)beginif clk'event and clk='1' thenif en ='0'thenK<=D;end if;end if;end process;B<=K;end one;4.仿真波形(如图2-1所示)图2-1 8位锁存器仿真波形此程序完成的是一个8位锁存器,当时钟上升沿到来(clk'event and clk='1')、使能端为低电平(en ='0')时,输出为时钟上升沿时的前一个数,从仿真波形看,实现了此功能。
EDA实验报告完结版
EDA实验报告完结版一、实验目的本次 EDA 实验的主要目的是通过实际操作和设计,深入理解和掌握电子设计自动化(EDA)技术的基本原理和应用。
具体而言,包括熟悉 EDA 工具的使用方法,学会运用硬件描述语言(HDL)进行逻辑电路的设计与描述,以及通过综合、仿真和实现等流程,将设计转化为实际的硬件电路,并对其性能进行评估和优化。
二、实验环境本次实验所使用的 EDA 工具为_____,该工具提供了丰富的功能模块和强大的设计支持,包括原理图编辑、HDL 代码编写、综合、仿真和下载等。
实验所使用的硬件平台为_____开发板,其具备多种接口和资源,便于对设计的电路进行实际验证和测试。
三、实验内容1、基本逻辑门电路的设计与实现使用 HDL 语言(如 Verilog 或 VHDL)设计常见的基本逻辑门电路,如与门、或门、非门等。
通过编写代码,对逻辑门的输入输出关系进行描述,并进行综合和仿真,验证设计的正确性。
2、组合逻辑电路的设计与实现设计并实现较为复杂的组合逻辑电路,如加法器、减法器、编码器、译码器等。
运用 HDL 语言描述电路的功能,进行综合和仿真,确保电路在各种输入情况下的输出结果符合预期。
3、时序逻辑电路的设计与实现设计常见的时序逻辑电路,如计数器、寄存器、移位寄存器等。
在设计过程中,考虑时钟信号、同步复位和异步复位等因素,通过仿真验证时序逻辑的正确性,并对电路的性能进行分析。
4、有限状态机(FSM)的设计与实现设计一个有限状态机,实现特定的功能,如交通信号灯控制器、数字密码锁等。
明确状态转移条件和输出逻辑,通过编写 HDL 代码实现状态机,并进行综合和仿真,验证其功能的准确性。
5、综合与优化对设计的电路进行综合,生成门级网表,并通过优化工具对电路进行面积、速度等方面的优化,以满足特定的设计要求。
6、硬件实现与测试将综合后的设计下载到硬件开发板上,通过实际的输入输出信号,对电路的功能进行测试和验证。
观察电路在实际运行中的表现,对出现的问题进行分析和解决。
合肥工业大学EDA实验报告 数字频率计
课程设计任务书(2011 ~2012 第三学期)设计题目:EDA与数字系统课程设计学院名称:电气与自动化工程学院专业(班级):自动化2010级姓名(学号):李本炫起讫日期:2012年6 月 18日-2012年 7月18日指导教师:刘春朱维勇系(教研室)负责人:下发任务书日期 2012 年 6月 18日合肥工业大学课程设计任务书目录一.摘要二.实验一 Max+Plus2使用练习三.实验二 3-8译码器四.实验三用74161实现十进制加法计数器五.实验四六十进制加法计数器六.实验五设计一个电路,使八个数码管依次显示0、1、2、…A、B、…E、F。
七.实验六设计一个电路,使两个数码管显示1~12的十二进制计数,两个数码管显示0~59的六十进制计数。
八.设计题目:数字频率计1.设计要求2.设计思路与原理3.设计方案1)总体电路图2)各部分电路图及功能说明a.测量校验信号选择电路b.计数器&报警信号输出电路c.数据选择电路d.锁存器电路e.报警输出电路f.扫描显示电路g.手动自动选择电路九.结论十.参考文献摘要本课程介绍数字系统的设计方法及基本步骤,MAX+plus2使用方法,硬件描述语言VHDL和VerilogHDL,并通过数字系统的设计,把数字系统的基本理论,基本方法和设计课题密结合,在MAX+lus2的设计平台下,是学会用原理电路图输入或硬件描述语言输入进行电路设计,编译,仿真,底层编辑及PLD编程校验,涉及部分波形编辑,管脚分配等,提高用MAX+plus2进行数字系统设计的能力。
实验一 Max+Plus2使用练习实验二 3-8译码器实验三用74161实现十进制加法计数器图1-3-3 用74LS161实现十进制加法计数器实验四六十进制加法计数器计数频率1HZ计数频率1HZ六十进制计数器实验五例1-4-1设计一个电路,使八个数码管依次显示0、1、2、…A、B、…E、F。
扫描频率先1HZ逐渐增加后观察结果计数频率1HZ实验六例1-4-2 设计一个电路,使两个数码管显示1~12的十二进制计数,两个数码管显示0~59的六十进制计数。
eda实验报告完整版
EDA实验报告焦中毅201300121069实验1 4选1数据选择器的设计一、实验目的1.学习EDA软件的基本操作。
2.学习使用原理图进行设计输入。
3.初步掌握器件设计输入、编译、仿真和编程的过程。
4.学习实验开发系统的使用方法。
二、实验仪器与器材1.EDA开发软件一套2.微机一台3.实验开发系统一台4.打印机一台三、实验说明本实验通过使用基本门电路完成4选1数据选择器的设计,初步掌握EDA设计方法中的设计输入、编译、综合、仿真和编程的过程。
实验结果可通过实验开发系统验证,在实验开发系统上选择高、低电平开关作为输入,选择发光二极管显示输出电平值。
本实验使用Quartus II 软件作为设计工具,要求熟悉Quartus II 软件的使用环境和基本操作,如设计输入、编译和适配的过程等。
实验中的设计文件要求用原理图方法输入,实验时,注意原理图编辑器的使用方法。
例如,元件、连线、网络名的放置方法和放大、缩小、存盘、退出等命令的使用。
学会管脚锁定以及编程下载的方法等。
四、实验要求1.完成4选1数据选择器的原理图输入并进行编译;2.对设计的电路进行仿真验证;3.编程下载并在实验开发系统上验证设计结果。
五、实验结果4选1数据选择器的原理图:仿真波形图:管脚分配:实验2 四位比较器一、实验目的1.设计四位二进制码比较器,并在实验开发系统上验证。
2.学习层次化设计方法。
二、实验仪器与器材1.EDA 开发软件 一套 2.微机 一台 3.实验开发系统 一台 4.打印机 一台 5.其它器件与材料 若干 三、实验说明本实验实现两个4位二进制码的比较器,输入为两个4位二进制码0123A A A A 和0123B B B B ,输出为M (A=B ),G (A>B )和L (A<B )(如图所示)。
用高低电平开关作为输入,发光二极管作为输出,具体管脚安排可根据试验系统的实际情况自行定义。
四、实验要求1.用硬件描述语言编写四位二进制码 比较器的源文件; 2.对设计进行仿真验证; 3.编程下载并在实验开发系统上进行 硬件验证。
EDA实验实验报告2
EDA实验实验报告学号:姓名:彭文勇院系:微电子技术系专业:嵌入式教师:李海2010年12月实验一一位全加器的设计实验地点:第二实验楼405同组人员:孙腾坤一、实验目的通过次实验我们逐步了解、熟悉和掌握FPGA开发软件Quartus II 的使用及Verilog HDL的编程方法。
学习用Verilog HDL语言以不同的方式来描述1位全加器及电路的设计仿真和硬件测试。
二、实验原理和内容本实验的内容是建立一个1位全加器。
具体内容包括:(1)使用Quartus II建立工程、编写程序;(2)进行波形仿真验证;(3)进行硬件测试。
通过SmartSOPC试验箱上的按键KEY1~KEY3输入信号,分别为A、B和cin,并通过LED1~LED3指示相应的状态。
输出Sum和cout通过LED7和LED8指示(灯亮表示输入或输出为“1”)。
三、实验步骤(1)启动Quartus II建立一个空白工程,然后命名为full_add。
(2)新建Verilog HDL源文件full_add.v,输入程序代码并保存,然后进行综合编译。
若在编译过程中发现错误,则找出并更正错误,直至编译成功为止,并生成图形符号文件full_add.bdf。
(3)波形仿真验证。
(4)新建图形设计文件命名为full_add.bdf并保存。
微电子技术系(5)选择目标器件并对相应的引脚进行锁定,我们选Altera公司Cyclone系列的EP1C6Q240C8芯片,引脚锁定方法参考实验书后面的附录A引脚分配。
将为使用的引脚设置为三态输入(一定要设置否则可能损坏芯片)。
(6)将full_add.bdf设置为顶层实体。
对该工程文件进行全程便已处理。
若在编译过程中发现错误,则找出并更正错误,直至编译成功为止。
(7)将跳线短接帽调解到JP6的KEY1~KEY3、LED0~LED2、LED6和LED7,使KEY1~KEY3、LED1~LED3、LED7、LED8与芯片对应的引脚相连。
合肥工业大学模拟电子线路EDA课程设计报告材料
4、Protel 99 se的使用方法:(1)在桌面上或开始菜单中找到并用鼠标双击Protel 99 se应用程序——Client 99 se,打开Protel 99 se的主窗口。
(上图)(2)执行菜单命令File中的New选项;或直接在窗口左边的一列文件类型选项中选择。
(3)设计Sch的操作环境在其中可以灵活的设置纸的大小、边框、图纸底色等相关选项(4)元器件查找点击FIND按钮,依据所需元器件在软件中所表示的型号,便可以找到所需器件,随后点击PLACE按钮便可以放置所查元器件。
(5)创建网络表点击如图所示的Design按钮cerate netlist选项,便是生成网络表的第一步,生成表格的前提是确定绘制的原理图所有的器件名,封装号等相关数据完整。
为以后生成PCB板做准备。
点击后变成了下图的Netlist Creation的界面,点OK选项便可生成网络表。
下图以测量放大器电路为例生成的网络表(6)创建元器件表下图为最终生成的元器件的列表。
含器件类型,器件型号,封装号以及原件描述,从表格可以清晰的看出所使用的器件,便于查询和比较原理图是否缺少相关器件。
下图所表现的即是生成表格的一个框图解释。
5、制作PCB板过程(一)准备工作1.绘制好原理电路图。
2.对电路图进行ERC检查。
3.进行封装形式检查。
4.生成网表文件。
(二)制作PCB板1.定制好PCB板尺寸,划定布线区域。
2.调入封装库元件。
3.调入网表文件。
4.自动布局。
5.自动布线。
6.对电路图进行DRC检查。
新建PCB文件并重命名。
装载在前面生成的网络表,当然要尽量避免网络表节点和封装准确标准化装载网络表出错后要及时排错。
如果没有错便会有All macrosvalidated,有错误便要排错。
没有错误的话便会生成下图的形式,元器件之间用绿线相连,同类型器件排列在一起。
电气有效体间安全间距,双击修改属性。
下图设置单面板和双面板的选项界面,单面板是:“Top layer”选“Not Used”,双面板是则是如图所示的选项。
EDA实验报告
EDA课程实践感悟EDA是电子设计自动化(Electronic Design Automation)的英文缩写,是随着集成电路和计算机技术飞速发展应运而生的一种快速、有效、高级的电子设计自动化工具。
这次学校为我们安排的EDA 课程包括了课堂讲授、课后自修以及课程实验三个环节,从这次课程当中我学习到了很多关于电子设计自动化的基础知识,锻炼提高了多方面的综合能力。
一自己对EDA的了解通过课堂老师的讲述和课后对书本的自习,我初步了解了EDA的特征和优势,目标和流程并知道了一些EDA公司和工具,并学习了可编程逻辑器件基础。
1 EDA的特征和优势在现代电子设计中,几乎所有的设计工作都需要在计算机上进行。
,设计者只需完成对系统功能的描述,就可以由计算机软件进行处理得到设计结果。
EDA以硬件描述语言(Hardware Description Language)为系统逻辑描述手段完成的设计文件可以自动完成逻辑编译、逻辑化简、逻辑分割、逻辑综合、布局布线和仿真测试,直至实现既定的电子线路系统功能。
飞速发展EDA 技术使得“自定而下”的设计方法被广泛使用。
在这种新的设计方法中,由用户也对整个系统进行方案设计和功能划分,系统的关键电路用一片或几片专用集成电路ASIC来实现。
用户首先从系统级设计入手,在顶层进行功能方框图的划分和结构设计;在方框图以及进行仿真、纠错看,并用硬件描述语言对高层次的系统行为进行描述;用逻辑综合优化工具生成具体的门级逻辑电路的网表,其对应的物理实现可以是印制电路板或专用集成电路。
EDA技术便利了复杂系统的设计,为用户提供了强大的系统建模和电路仿真功能,全方位地利用计算机和自动设计、仿真和测试技术,降低了设计者的硬件知识和硬件经验的要求。
2 EDA的设计流程一个典型的EDA 设计流程主要包括设计准备,设计输入、设计处理、器件变成和设计验证等五个基本步骤。
设计输入有多种方式,包括采用硬件描述语言进行设计的文本输入方式、图形输入方式和波形输入方式,或者采用文本、图形两者混合的设计输入方式,也可以采用“自顶向下”的层次设计方法,将多个输入和并为一个设计文件。
EDA课程设计实验报告
《EDA课程设计》报告学号:姓名:班级:指导教师:2013年6 月24日- 2013年7 月5日目录1.引言 ............................................................................................................... 错误!未定义书签。
2.原理图的设计................................................................................................ 错误!未定义书签。
文件的新建............................................................................................... 错误!未定义书签。
原理图文件XX .Sch的建立 ..................................................................... 错误!未定义书签。
元件库的导入........................................................................................... 错误!未定义书签。
原理图中各元件的布局和连线 (3)3.原理图新元件的设计 (4)元件库XX .Lib文件的建立 (4)原理图元件的画法................................................................................... 错误!未定义书签。
注意事项................................................................................................... 错误!未定义书签。
EDA实验报告含结果图
EDA电子课程实验报告专业:班级:姓名:学号:实验一四人表决器一实验目的1、熟悉Quartus II软件的使用。
2、熟悉EDA-IV实验箱。
3、熟悉EDA开发的基本流程。
二硬件需求1、RC-EDA-IV型实验箱一台;2、RC-EDA-IV型实验箱配套USB-Blaster下载器一个;3、PC机一台。
三实验原理所谓表决器就是对于一个行为,由多个人投票,如果同意的票数过半,就认为此行为可行;否则如果否决的票数过半,则认为此行为无效。
四人表决器顾名思义就是由四个人来投票,当同意的票数大于或者等于3人时,则认为同意;反之,当否决的票数大于或者等于2人时,则认为不同意。
实验中用4个拨挡开关来表示4个人,当对应的拨挡开关输入为‘1’时,表示此人同意;否则若拨挡开关输入为‘0’时,则表示此人反对。
表决的结果用一个LED表示,若表决的结果为同意,则LED被点亮;否则,如果表决的结果为反对,则LED不会被点亮。
四实验内容VHDL程序:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;--------------------------------------------------------------------entity EXP3 isport(k1,K2,K3,K4 : in std_logic;ledag : out std_logic_vector(3downto 0);m_Result : out std_logic);end EXP3;--------------------------------------------------------------------architecture behave of EXP3 issignal K_Num : std_logic_vector(2 downto 0); signal K1_Num,K2_Num: std_logic_vector(2 downto 0); signal K3_Num,K4_Num: std_logic_vector(2 downto 0);beginprocess(K1,K2,K3,K4)beginK1_Num<='0'&'0'&K1;K2_Num<='0'&'0'&K2;K3_Num<='0'&'0'&K3;K4_Num<='0'&'0'&K4;end process;process(K1_Num,K2_Num,K3_Num,K4_Num,)beginK_Num<=K1_Num+K2_Num+K3_Num+K4_Num;end process;process(K_Num) beginif(K_Num>2) thenm_Result<='1';elsem_Result<='0';end if;end process;end behave;实验电路实验二格雷码转换一实验目的1、了解格雷码变换的原理。
EDA设计实验报告
基于EDA技术的数字系统设计报告院(系)信息与电气工程学院专业__________________________姓名 ________________________________学号 ___________________________日期2012 年5月_6_日摘要在电子设计与制造技术的发展中,核心就是电子设计自动化 (EDA,Electronic Design Automation)技术。
EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言VHDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对特定目标芯片的适配编译、逻辑映射和编程下载等工作。
EDA技术的出现,极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。
本次设计实验就是基于EDA技术和EPM7128SLC84-15芯片(CPLD器件)及其外围电路,实现了多功能数字钟的设计。
设计模块主要包括:控制单元、分频单元、计数单元、比较单元、选择单元、报警及显示单元。
从而实现时间和闹钟的切换显示时、分、秒,并能分别设定时分秒且具有整点报时和闹铃功能。
关键词:EDA VHDL数字钟、控制单元目录摘要 (I)一、设计说明 (1)1.1 设计要求 (1)1.2 设计目的 (1)1.3 系统功能描述 (1)1.3.1 总体设计 (1)1.3.2 具体功能描述 (1)二、设计内容 (3)2.1 设计原理分析 (3)2.2 各模块设计 (4)2.2.1 控制器模块 (4)2.2.2 分频模块 (7)2.2.3 计时、校时模块 (8)2.2.4 定时、比较模块 (12)2.2.5 显示模块 (13)2.2.6 报时模块 (15)2.2.7 按键防抖模块 (18)2.3 元件例化程序 (19)三、现场调试及总结 (22)、设计说明1.1 设计要求1、设计一个多功能数字钟,以二十四小时制计时。
合肥工业大学EDA课程总结报告
EDA课程总结报告一、EDA技术简介1.EDA技术的概念EDA即Electronic Design Automation的缩写,直译为:电子设计自动化EDA技术有狭义和广义之分,狭义EDA技术就是以大规模可编程逻辑器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,以计算机、大规模可编程逻辑器件的开发软件及实验开发系统为设计工具,通过有关的开发软件,自动完成用软件的方式设计的电子系统到硬件系统的逻辑编译、逻辑化简、逻辑分割、逻辑综合及优化、逻辑布局布线、逻辑仿真,直至完成对于特定目标芯片的适配编译、逻辑映射、编程下载等工作,最终形成集成电子系统或专用集成芯片的一门新技术,或称为IES/ASIC自动设计技术。
2.EDA技术的目的和意义EDA技术以规模巨大的可编程逻辑器件(PLD)作为进行电子设计的载体,硬件描述语言(HDL)作为系统逻辑描述的一种主要表达方式,通过它来完成对系统逻辑的描述,再依托具有强大功能的计算机,通过运用与EDA 技术相应的工具软件,完成电子系统的自动化设计。
这种技术的应用使设计人员得以高效快速地完成设计任务,使设计所用周期时间得以缩短,减少了设计所需的投入成本。
20 世纪70年代由于计算机及集成电路的急剧发展,使电子技术受到剧烈的冲击,其更新换代的周期不断缩减,而专用的集成电路却不断提升其设计难度,致使两者之间的矛盾逐渐扩大,这就使得电子技术要不断地更新,从而满足电子产品生产的需要,经过近几十年的发展,电子设计技术大致经历了三个主要的发展阶段,从初期的CAD 阶段到CAE 阶段再到现在的EDA 阶段,电子设计技术取得了飞跃性的发展。
EDA技术最特别之处在于它的设计流程,与传统自下而上的电子设计流程恰恰相反,EDA技术选择使用自上而下的设计流程,它从电子系统设计的整体出发,在进行设计之前就将系统中各部分之间的结构规划好,在对方框图进行划分时完成相关的仿真和纠错工作,使用HDL 对高层次逻辑进行描述,并运用综合优化方法完成所有有关工作,然后通过使用EDA 技术,可以帮助用户实现对系统中任意一项硬件功能进行系统描述,最后再利用现场可编程门阵列(FPGA)或复杂可编程逻辑器件(CPLD)来实现电子系统设计的结果。
eda课程设计实验报告
eda课程设计实验报告一、课程目标知识目标:1. 学生能理解EDA(电子设计自动化)的基本概念,掌握EDA工具的使用方法。
2. 学生能运用所学知识,设计并实现基本的数字电路。
3. 学生了解数字电路的设计流程,掌握设计规范,具备初步的电路分析能力。
技能目标:1. 学生能独立操作EDA软件,完成电路的原理图绘制、仿真和布局布线。
2. 学生通过实验报告的撰写,提高实验数据分析、总结归纳的能力。
3. 学生在小组合作中,提高沟通协调能力和团队协作能力。
情感态度价值观目标:1. 学生培养对电子科学的兴趣,激发创新意识,增强实践能力。
2. 学生在实验过程中,形成严谨的科学态度,提高问题解决能力。
3. 学生通过课程学习,认识到科技发展对国家和社会的重要性,增强社会责任感。
课程性质:本课程为实践性较强的电子设计课程,旨在培养学生的实际操作能力、创新意识和团队合作精神。
学生特点:六年级学生具有一定的电子知识基础,好奇心强,喜欢动手实践,但需加强对理论知识的理解和应用。
教学要求:结合学生特点,注重理论与实践相结合,充分调动学生的积极性,提高学生的实践能力和创新能力。
将课程目标分解为具体的学习成果,便于教学设计和评估。
二、教学内容根据课程目标,本章节教学内容主要包括以下几部分:1. EDA基本概念与工具介绍- 电子设计自动化原理简介- 常用EDA软件功能与操作方法2. 数字电路设计基础- 数字电路基本元件及功能- 原理图绘制与仿真分析3. 布局布线与PCB设计- PCB设计流程与方法- 布局布线技巧与规范4. 实验报告撰写- 实验数据整理与分析- 实验总结与反思教学大纲安排如下:第一周:- EDA基本概念与工具介绍- 数字电路基本元件及功能第二周:- 原理图绘制与仿真分析第三周:- 布局布线与PCB设计第四周:- 实验报告撰写教学内容与教材关联性:本教学内容与教材《电子技术基础与实践》第六章“电子设计自动化”相关章节紧密相连,确保了教学内容的科学性和系统性。
EDA实验报告
EDA实验报告班级:学号:姓名:实验一组合逻辑设计一、实验目的:通过一个简单的3-8译码器的设计,让学生掌握组合逻辑电路的设计方法。
二、实验的硬件要求:1、输入:DIP拨码开关3位2、输出:LED灯3、主芯片:EP2C8Q208C8三、实验原理:三八译码器三输入,八输出。
当输入信号按二进制方式的表示为N时,输出端从零标记到八。
因为三个输入端能产生的组合状态有八种,所以输出端在每种组合中仅有一位有效的情况下,能表示所有的输入组合。
3-8译码器真值表四、实验程序图:建立工程后,新建Block diagram/schematic file程序,在编辑窗口中选择相应原件用鼠标拖入文件中编辑,绘制完成后保存原理图,对程序进行编译,编译无误后,进行管脚配置,下图为程序图。
文本程序:library ieee;use ieee.std_logic_1164.all;entity program1 isport (A,B,C:in std_logic;D0,D1,D2,D3,D4,D5,D6,D7:out std_logic); end entity program1;architecture one of program1 issignal abc :std_logic_vector(2 downto 0); signal D :std_logic_vector(7 downto 0); beginabc <= A&B&C;process(abc)begincase abc iswhen "000"=>D<="10000000";when "100"=>D<="01000000";when "010"=>D<="00100000";when "110"=>D<="00010000";when "001"=>D<="00001000";when "101"=>D<="00000100";when "011"=>D<="00000010";when "111"=>D<="00000001";when others => null;end case;end process;D0<=D(7);D1<=D(6);D2<=D(5);D3<=D(4);D4<=D(3);D5<=D(2);D6<=D(1);D7<=D(0);end architecture one;五、实验仿真结果:仿真波形图如下:进行波形仿真完成后,用拨码开关的低三位代表译码器输入,将之与配置好的管脚相连;用led灯代表译码器的输出,将之与配置好的管脚相连。
EDA实训总结报告
EDA实训总结报告EDA实训总结报告合肥学院学生EDA实训总结报告合肥学院电子系EDA实训总结报告系别电子系专业电子信息工程班级姓名年少轻狂学号指导老师成绩201*年9月8日EDA实训总结报告合肥学院电子系09级电子信息工程,姓名:李金山学号:0905075006摘要:经过两周的EDA实训,我也基本掌握了这个软件的使用方法,也体会到了这款软件的实用性。
我也通过练习,熟练地掌握了一些画图技巧,下面我模仿练习的一款时钟电路,通过绘制及制作时钟电路,通过绘制时钟电路的原理图,制作PCB板,布线等,我也发现了自己的一些不足,有了更深的体会。
一、电路原理图及元器件库设计1.原理图设计电路原理图的设计主要是protel99se的原理图设计系统(AdvancedSchematic)来绘制一张电路原理图。
在这一过程中,要充分利用protel99se所提供的各种原理图绘图工具、各种编辑功能,来实现我们的目的,即得到一张正确、精美的电路原理图。
绘制简单电路原理图过程:首先,构思好零件图,设计好图纸大小,设置合适的图纸大小;然后,设置protel99se/Schematic设计环境;再者,放置零件,并对放置零件的序号、零件封装进行定义和设定等工作;然后,将图纸上的元件用具有电气意义的导线、符号连接起来,构成一个完整的原理图;然后,根据需要调整电路;再者,创建网络表;最后,加载网络表。
例如如下时钟电路原理图:当然,这还不算完整,然后对已经完成的电路原理图,进行电气规则测试,找出错误原因,并改正。
生成网络表和元器件材料清单。
电气规则测试:分析检查报告内容,修改错误。
时钟电路网络表2.元器件库设计在绘制电路原理图时,难免会遇到元器件库中没有的元器件,这时,我们需要用绘图工具,学会绘制元器件。
我在绘制时钟电路原理图时,也曾遇到过这种情况,所以这就需要我们自己绘制自己所需的元器件图。
如:我们可以根据所需建立一个自己的元器件库,当我们需要时,就可添加进去,直接使用即可。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
(1)在桌面上或开始菜单中找到并用鼠标双击Protel 99 se应用程
序——Client 99 se,打开Protel 99 se的主窗口。
(上图)
件类型选项中选择。
(3)设计Sch的操作环境
在其中可以灵活的设置纸张的大小、边框、图纸底色等相关选项(4)元器件查找
(5)创建网络表
点击如图所示的Design按钮cerate netlist选项,便是生成网络表的第一步,生成表格的前提是确定绘制的原理图所有的器件名,封装号等
下图为最终生成的元器件的列表。
内含器件类型,器件型号,封装号以及原件描述,从表格可以清晰的看出所使用的器件,便于查询和比较原理图是否缺少相关器件。
装载在前面生成的网络表,当然要尽量避免网络表节点和封装准确标准化
没有错误的话便会生成下图的形式,元器件之间用绿线相连,同类型器件排列在一起。
下图设置单面板和双面板的选项界面,单面板是:“Top layer”选“Not Used”,双面板是则是如图所示的选项。
下图便是自动布线工具选项,选择Route All,便生成了PCB双面板或者单面板。
下图以测量放大器电路为例生成了双面板图样。
(二)、实验对象
1、甲乙类互补对称功率放大电路
(1)实验电路图:
(3)甲乙类互补对称功率放大器网络表:(4)甲乙类互补对称功率放大器元器件表
(5)用Protel软件绘制印刷电路板版图。
单层板:
2、测量放大器电路(1)电路原理图
(3)测量放大电路网络表
(5)用Protel软件绘制印刷电路板版图。
单面板
双面板
(3)整流稳压电路网络表:
(5)用Protel软件绘制印刷电路板版图。
单面板
三、EDA训练总结与体会
本次课程设计实习持续了一个星期,每个过程我都认真的完成了,而且从中收获了很多,可以总结为以下几点:
(一)对数字电路、模拟电路的知识的巩固与提高
本次课程设计学习了Protel软件的使用,并掌握了绘制电路原理图和PCB板的基本方法。
(二)学会理论联系实际。