N^ electroproduction amplitudes in a model with dynamical confinement

合集下载

电子技术专业英语

电子技术专业英语

1、汉译英1)直流电路direct current circuits2)放大器(扩音器)amplifier3)模拟电子技术analog electronics4)半导体二极管semiconductor diode5)晶体管效应transistor effect6)微解决器microprocessor7)电气工程electrical engineering8)能源工程(或电力工程)power engineering9)通信工程telecommunications engineering10)内部器件internal devices11)电子元件electrical components12)欧姆定律Ohm law13)限制电流limit current14)分压器voltage divider15)晶体管偏置电路transistor biasing circuits16)阻碍电流block DC current17)存储点能store electrical energy18)感抗inductive reactance19)绝缘材料insulating material20)交流阻抗AC resistancea)通用仪表general-purpose meterb)模拟仪表analog meterc)互换测试笔reverse the test leadsd)机械调节mechanical adjuste)测量电阻measure resistancef)正向电压positive voltageg)测量电流measure currenth)电压幅度voltage amplitudei)双踪示波器dual-trace oscilloscopej)信号发生器signal generator21)PN结PN junction22)三极管bipolar transistor23)电子和空穴electron and hole24)稳压电源electronic power supply或steady DC voltage source25)桥式整流器bridge rectifier26)脉冲直流电pulsating DC27)二极管的正极anode of diode28)峰值电压peak voltage29)电容滤波器capacitor filter30)充电和放电charge and discharge31)稳压管Zener diode32)电器电子工程师学会IEEE(Institute of Electrical and Electronics Engineers)33)专业技术组织technical professional association34)基尔霍夫电压定律Kirchhoff’s V oltage Law35)电压源voltage sources36)电荷守恒定律the law of conservation of electric charge37)在每一瞬时at every instant of time38)元件两端的电压voltages across elements39)无线电传输radio transmission40)频率调制或调频frequency modulation41)频域the frequency domain42)线性电阻linear resistor43)调幅波形amplitude modulation wave44)专用集成电路(ASIC)45)快速时间响应fast response time46)有效信号valid signal47)十进制数字系统decimal system48)逻辑运算logic operation1)控制信号线the control bus2)中断线interrupt lines1)结构化语言structured language2)局部变量local variables3)副作用side effect4)汇编语言指令assembly language instructions1)静止图像still image2)阴极射线管,显像管CRT or the cathode ray tube3)像素pixel4)电子束electron beam2、英译汉1)assembler language汇编语言2)alternating current circuits交流电路3)passive electrical circuits无源电路4)three phase circuits三相电路5)digital electronics数字电子技术6)logic gates逻辑门7)3D virtual reality image三维虚拟图像8)computer programming计算机编程9)major in(在大学里)主修10)advanced programming techniques高级编程技术1)known as capacitive reactance称为容抗2)with units ohms单位为欧姆3)prevent device from burning out防止器件烧掉4)has an AC resistance to AC current对交流电流由阻抗5)adjustment with a screw用一个螺丝调节6)in the shape of a cylinder 呈圆柱形式7)block DC current,but pass AC current阻直流通交流8)to vary the inductance改变电感9)be given by the formula 由公式给出10)the RF amplifier 音频放大器1)analog multimeter模拟万用表2)extended range扩展范围3)specific meters特殊仪表4)includes the function and range switches具有功能及范围选择旋钮5)present an electronic picture呈现一幅电子图像6)display the voltage waveform显示电压波形7)appear on the screen在屏幕上出现8)phase relationships相位关系9)an example例如,作为一个例子10)in series with the circuit串连接入电路1)Semiconductor material半导体材料2)forward biased正向偏置3)depend on the external circuit resistance取决于外部电路的电阻4)excessive reverse-biased voltage过高的反偏电压5)is directly proportional to the amount ofbase current是正比于基极电流6)may even appear almost as a short几乎可当作是短路7)cause stability problems for a transistorcircuit引起晶体管电路的稳定性问题8)digital technology数字技术9)the most popular technology最常用的技术10)use two complementary typeset oftransistors N-channel and P-channel用两种互补型的晶体管——N沟道和P沟道1)equipment operation设备的运营2)device that converts AC into DC把交流电转换成直流电的器件3)the power lines电源线4)depending on the value of DC voltageneeded 根据所需要的直流电压值5) a half-wave rectifier平波整流器6)so as to produce a constant DC output从而产生一个稳定的直流输出7)in the negative side of the capacitor在电容的负极8)flow through the load流过负载9)in the forward-biased condition在加正向偏置电压的条件下10) a series(current-limiting)resistor一个串联(限制电流)电阻1)current source电流源2)under this circumstance在这种情况下3)present the second of Kirchhoff’s laws给出基尔霍夫第二定律4)introduce the concept of a “loop”引入“回路”的概念5)An alternative statement of KVLKVL的另一种表述法6)voltages algebraically sum电压代数和7)sinusoidal steady-syate response正弦稳态响应8)ordinary household voltage平常用电的电压9)time-invariant circuit时不变电路10)percentage of modulation调制比例reduce the power consumption减小消耗功率flip-flop 触发器the octal and hexadecimal systems当时钟脉冲信号来届时改变状态①直流电路direct current circuits②放大器(扩音器)amplifier③欧姆定律Ohm law④正极positive electrode⑤充电与放电Charge and discharge⑥无线电传输Radio transmission⑦模拟仪表Analogue Meters⑧模拟电子技术analog electronics⑨半导体二极管semiconductor⑩晶体管效应transistor effect⑪微解决器microprocessor⑫通信工程telecommunications engineering ⑬汇编语言assembler language⑭电子元件electrical components⑮限制电流limit current⑯分压器voltage divider⑰偏置电路biasing circuits⑱阻碍电流block DC current⑲感抗inductive reactance⑳容抗capacitive21正向电压positive voltage22扩展范围extended range23电压波形voltage waveform24连接入电路in series with the circuit25PN结PN junction 26三极管bipolar transistor27电子与空穴electron and hole28半导体材料semiconductor material29正向偏置forward biased30数字技术digital technology31桥式整流器bridge rectifier32稳压管Zener diode33电源线the power lines34在电容的负极in the negative side of the capacitor 在加正向偏置的条件下in the forward-biased condition一个串联电阻 a series (current-limiting)resistor35电压源voltage sources36在每一瞬时at every instant of time37无线电传输radio transmission38频率调制或调频frequency modulation39快速时间响应fast response time40有效信号valid signal41结构化语言structured language42局部变量local variables43副作用side effect44静止图像still image45阴极射线管pixel 46电子束electron beam1.resistors are used to limit current flowing to adevice ,thereby preventing it from burning out, as voltage dividers to reduce voltage for other circuits, as transistor biasing circuits, and to serve as circuit loads.电阻常用做限流器,限制流过器件的电流防止烧坏器件,电阻也可用作分压器,以减小其他电路电压,还可以用在晶体管偏执电路中和作为电路负载。

半导体微电子专业词汇中英文对照

半导体微电子专业词汇中英文对照

半导体微电子专业词汇中英文对照Accelerated testing 加速实验Acceptor 受主Acceptor atom 受主原子Accumulation 积累、堆积Accumulating contact 积累接触Accumulation region 积累区Accumulation layer 积累层Acoustic Surface Wave 声表面波Active region 有源区Active component 有源元Active device 有源器件Activation 激活Activation energy 激活能Active region 有源(放大)区A/D conversion 模拟—数字转换Adhesives 粘接剂Admittance 导纳Aging 老化Airborne 空载Allowed band 允带allowance 容限,公差Alloy-junction device合金结器件Aluminum(Aluminum)铝Aluminum – oxide 铝氧化物Aluminum Nitride 氮化铝Aluminum passivation 铝钝化Ambipolar 双极的Ambient temperature 环境温度A M light 振幅调制光,调幅光amplitude limiter 限幅器Amorphous 无定形的,非晶体的Amplifier 功放放大器Analogue(Analog) comparator 模拟比较器Angstrom 埃Anneal 退火Anisotropic 各向异性的Anode 阳极Antenna 天线Aperture 孔径Arsenide (As) 砷Array 阵列Atomic 原子的Atom Clock 原子钟Attenuation 衰减Audio 声频Auger 俄歇Automatic 自动的Automotive 汽车的Availability 实用性Avalanche 雪崩Avalanche breakdown 雪崩击穿Avalanche excitation雪崩激发Background carrier 本底载流子Background doping 本底掺杂Backward 反向Backward bias 反向偏置Ball bond 球形键合Band 能带Band gap 能带间隙Bandwidth 带宽Bar 巴条发光条Barrier 势垒Barrier layer 势垒层Barrier width 势垒宽度Base 基极Base contact 基区接触Base stretching 基区扩展效应Base transit time 基区渡越时间Base transport efficiency基区输运系数Base—width modulation基区宽度调制Batch 批次Battery 电池Beam 束光束电子束Bench 工作台Bias 偏置Bilateral switch 双向开关Binary code 二进制代码Binary compound semiconductor 二元化合物半导体Bipolar 双极性的Bipolar Junction Transistor (BJT)双极晶体管Bit 位比特Blocking band 阻带Body — centered 体心立方Body—centred cubic structure 体立心结构Boltzmann 波尔兹曼Bond 键、键合Bonding electron 价电子Bonding pad 键合点Boron 硼Borosilicate glass 硼硅玻璃Bottom-up 由下而上的Boundary condition 边界条件Bound electron 束缚电子Bragg effect 布拉格效应Breadboard 模拟板、实验板Break down 击穿Break over 转折Brillouin 布里渊FBrillouin zone 布里渊区Buffer 缓冲器Built-in 内建的Build—in electric field 内建电场Bulk 体/体内Bulk absorption 体吸收Bulk generation 体产生Bulk recombination 体复合Burn-in 老化Burn out 烧毁Buried channel 埋沟Buried diffusion region 隐埋扩散区Bus 总线Calibration 校准,检定,定标、刻度,分度Capacitance 电容Capture cross section 俘获截面Capture carrier 俘获载流子Carbon dioxide (CO2) 二氧化碳Carrier 载流子、载波Carry bit 进位位Cascade 级联Case 管壳Cathode 阴极Cavity 腔体Center 中心Ceramic 陶瓷(的)Channel 沟道Channel breakdown 沟道击穿Channel current 沟道电流Channel doping 沟道掺杂Channel shortening 沟道缩短Channel width 沟道宽度Characteristic impedance 特征阻抗Charge 电荷、充电Charge-compensation effects 电荷补偿效应Charge conservation 电荷守恒Charge drive/exchange/sharing/transfer/storage 电荷驱动/交换/共享/转移/存储Chemical etching 化学腐蚀法Chemically—Polish 化学抛光Chemically—Mechanically Polish (CMP)化学机械抛光Chemical vapor deposition (cvd)化学汽相淀积Chip 芯片Chip yield 芯片成品率Circuit 电路Clamped 箝位Clamping diode 箝位二极管Cleavage plane 解理面Clean 清洗Clock rate 时钟频率Clock generator 时钟发生器Clock flip—flop 时钟触发器Close—loop gain 闭环增益Coating 涂覆涂层Coefficient of thermal expansion 热膨胀系数Coherency 相干性Collector 集电极Collision 碰撞Compensated OP-AMP 补偿运放Common-base/collector/emitter connection 共基极/集电极/发射极连接Common—gate/drain/source connection 共栅/漏/源连接Common—mode gain 共模增益Common-mode input 共模输入Common—mode rejection ratio (CMRR) 共模抑制比Communication 通信Compact 致密的Compatibility 兼容性Compensation 补偿Compensated impurities 补偿杂质Compensated semiconductor 补偿半导体Complementary Darlington circuit 互补达林顿电路Complementary Metal-Oxide-SemiconductorField-Effect-Transistor(CMOS)互补金属氧化物半导体场效应晶体管Computer-aided design (CAD)/test(CAT)/manufacture(CAM)计算机辅助设计/ 测试/制造Component 元件Compound Semiconductor 化合物半导体Conductance 电导Conduction band (edge)导带(底)Conduction level/state 导带态Conductor 导体Conductivity 电导率Configuration 结构Conlomb 库仑Constants 物理常数Constant energy surface 等能面Constant-source diffusion恒定源扩散Contact 接触Continuous wave 连续波Continuity equation 连续性方程Contact hole 接触孔Contact potential 接触电势Controlled 受控的Converter 转换器Conveyer 传输器Cooling 冷却Copper interconnection system 铜互连系统Corrosion 腐蚀Coupling 耦合Covalent 共阶的Crossover 交叉Critical 临界的Cross—section 横断面Crucible坩埚Cryogenic cooling system 冷却系统Crystal defect/face/orientation/lattice 晶体缺陷/晶面/晶向/晶格Cubic crystal system 立方晶系Current density 电流密度Curvature 曲率Current drift/drive/sharing 电流漂移/驱动/共享Current Sense 电流取样Curve 曲线Custom integrated circuit 定制集成电路Cut off 截止Cylindrical 柱面的Czochralshicrystal 直立单晶Czochralski technique 切克劳斯基技术(Cz法直拉晶体J))Dangling bonds 悬挂键Dark current 暗电流Dead time 空载时间Decade 十进制Decibel (dB)分贝Decode 解码Deep acceptor level 深受主能级Deep donor level 深施主能级Deep energy level 深能级Deep impurity level 深度杂质能级Deep trap 深陷阱Defeat 缺陷Degenerate semiconductor 简并半导体Degeneracy 简并度Degradation 退化Degree Celsius(centigrade)/Kelvin 摄氏/开氏温度Delay 延迟Density 密度Density of states 态密度Depletion 耗尽Depletion approximation 耗尽近似Depletion contact 耗尽接触Depletion depth 耗尽深度Depletion effect 耗尽效应Depletion layer 耗尽层Depletion MOS 耗尽MOS Depletion region 耗尽区Deposited film 淀积薄膜Deposition process 淀积工艺Design rules 设计规则Detector 探测器Developer 显影剂Diamond 金刚石Die 芯片(复数dice)Diode 二极管Dielectric Constant 介电常数Dielectric isolation 介质隔离Difference-mode input 差模输入Differential amplifier 差分放大器Differential capacitance 微分电容Diffusion 扩散Diffusion coefficient 扩散系数Diffusion constant 扩散常数Diffusivity 扩散率Diffusion capacitance/barrier/current/furnace 扩散电容/势垒/电流/炉Digital circuit 数字电路Dimension (1)尺寸(2)量钢(3)维,度Diode 二极管Dipole domain 偶极畴Dipole layer 偶极层Direct—coupling 直接耦合Direct—gap semiconductor 直接带隙半导体Direct transition 直接跃迁Directional antenna 定向天线Discharge 放电Discrete component 分立元件Disorder 无序的Display 显示器Dissipation 耗散Dissolution 溶解Distributed capacitance 分布电容Distributed model 分布模型Displacement 位移Dislocation 位错Domain 畴Donor 施主Donor exhaustion 施主耗尽Dopant 掺杂剂Doped semiconductor 掺杂半导体Doping concentration 掺杂浓度Dose 剂量Double—diffusive MOS(DMOS)双扩散MOS Drift 漂移Drift field 漂移电场Drift mobility 迁移率Dry etching 干法腐蚀Dry/wet oxidation 干/湿法氧化Dose 剂量Dual—polarization 双偏振,双极化Duty cycle 工作周期Dual-in-line package (DIP) 双列直插式封装Dynamics 动态Dynamic characteristics 动态属性Dynamic impedance 动态阻抗Early effect 厄利效应Early failure 早期失效Effect 效应Effective mass 有效质量Electric Erase Programmable Read Only Memory(E2PROM) 电可擦除只读存储器Electrode 电极Electromigration 电迁移Electron affinity 电子亲和势Electron-beam 电子束Electroluminescence 电致发光Electron gas 电子气Electron trapping center 电子俘获中心Electron Volt (eV) 电子伏Electro—optical 光电的Electrostatic 静电的Element 元素/元件/配件Elemental semiconductor 元素半导体Ellipse 椭圆Emitter 发射极Emitter—coupled logic 发射极耦合逻辑Emitter-coupled pair 发射极耦合对Emitter follower 射随器Empty band 空带Emitter crowding effect 发射极集边(拥挤)效应Endurance test =life test 寿命测试Energy state 能态Energy momentum diagram 能量-动量(E—K)图Enhancement mode 增强型模式Enhancement MOS 增强性MOSEnteric (低)共溶的Environmental test 环境测试Epitaxial 外延的Epitaxial layer 外延层Epitaxial slice 外延片Epoxy 环氧的Equivalent circuit 等效电路Equilibrium majority /minority carriers 平衡多数/少数载流子Equipment 设备Erasable Programmable ROM (EPROM)可搽取(编程)存储器Erbium laser 掺铒激光器Error function complement 余误差函数Etch 刻蚀Etchant 刻蚀剂Etching mask 抗蚀剂掩模Excess carrier 过剩载流子Excitation energy 激发能Excited state 激发态Exciton 激子Exponential 指数的Extrapolation 外推法Extrinsic 非本征的Extrinsic semiconductor 杂质半导体Fabry—Perot amplifier 法布里-珀罗放大器Face — centered 面心立方Fall time 下降时间Fan-in 扇入Fan—out 扇出Fast recovery 快恢复Fast surface states 快表面态Feedback 反馈Fermi level 费米能级Femi potential 费米势Fiber optic 光纤Field effect transistor 场效应晶体管Field oxide 场氧化层Figure of merit 品质因数Filter 滤波器Filled band 满带Film 薄膜Fine pitch 细节距Flash memory 闪存存储器Flat band 平带Flat pack 扁平封装Flatness 平整度Flexible 柔性的Flicker noise 闪烁(变)噪声Flip-chip 倒装芯片Flip— flop toggle 触发器翻转Floating gate 浮栅Fluoride etch 氟化氢刻蚀Focal plane 焦平面Forbidden band 禁带Formulation 列式,表达Forward bias 正向偏置Forward blocking /conducting 正向阻断/导通Free electron 自由电子Frequency deviation noise 频率漂移噪声Frequency response 频率响应Function 函数Gain 增益Gallium—Arsenide(GaAs) 砷化镓Gallium Nitride 氮化镓Gate 门、栅、控制极Gate oxide 栅氧化层Gate width 栅宽Gauss(ian)高斯Gaussian distribution profile 高斯掺杂分布Generation-recombination 产生-复合Geometries 几何尺寸Germanium(Ge) 锗Gold 金Graded 缓变的Graded (gradual) channel 缓变沟道Graded junction 缓变结Grain 晶粒Gradient 梯度Graphene 石墨烯Grating 光栅Green laser 绿光激光器Ground 接地Grown junction 生长结Guard ring 保护环Guide wave 导波波导Gunn — effect 狄氏效应Gyroscope 陀螺仪Hardened device 辐射加固器件Harmonics 谐波Heat diffusion 热扩散Heat sink 散热器、热沉Heavy/light hole band 重/轻空穴带Hell — effect 霍尔效应Hertz 赫兹Heterojunction 异质结Heterojunction structure 异质结结构Heterojunction Bipolar Transistor(HBT)异质结双极型晶体High field property 高场特性High—performance MOS(H—MOS)高性能MOS器件High power 大功率Hole 空穴Homojunction 同质结Horizontal epitaxial reactor 卧式外延反应器Hot carrier 热载流子Hybrid integration 混合集成Illumination (1)照明(2)照明学Image - force 镜象力Impact ionization 碰撞电离Impedance 阻抗Imperfect structure 不完整结构Implantation dose 注入剂量Implanted ion 注入离子Impurity 杂质Impurity scattering 杂志散射Inch 英寸Incremental resistance 电阻增量(微分电阻)In—contact mask 接触式掩模Index of refraction 折射率Indium 铟Indium tin oxide (ITO)铟锡氧化物Inductance 电感Induced channel 感应沟道Infrared 红外的Injection 注入Input power 输入功率Insertion loss 插入损耗Insulator 绝缘体Insulated Gate FET(IGFET) 绝缘栅FET Integrated injection logic 集成注入逻辑Integration 集成、积分Integrated Circuit 集成电路Interconnection 互连Interconnection time delay 互连延时Interdigitated structure 交互式结构Interface 界面Interference 干涉International system of unions 国际单位制Internally scattering 谷间散射Interpolation 内插法Intrinsic 本征的Intrinsic semiconductor 本征半导体Inverse operation 反向工作Inversion 反型Inverter 倒相器Ion 离子Ion beam 离子束Ion etching 离子刻蚀Ion implantation 离子注入Ionization 电离Ionization energy 电离能Irradiation 辐照Isolation land 隔离岛Isotropic 各向同性Junction FET(JFET) 结型场效应管Junction isolation 结隔离Junction spacing 结间距Junction side—wall 结侧壁Laser 激光器Laser diode 激光二极管Latch up 闭锁Lateral 横向的Lattice 晶格Layout 版图Lattice binding/cell/constant/defect/distortion 晶格结合力/晶胞/晶格/晶格常熟/晶格缺陷/晶格畸变Lead 铅Leakage current (泄)漏电流Life time 寿命linearity 线性度Linked bond 共价键Liquid Nitrogen 液氮Liquid-phase epitaxial growth technique 液相外延生长技术Lithography 光刻Light Emitting Diode(LED) 发光二极管Linearity 线性化Liquid 液体Lock in 锁定Longitudinal 纵向的Long life 长寿命Lumped model 集总模型Magnetic 磁的Majority carrier 多数载流子Mask 掩膜板,光刻板Mask level 掩模序号Mask set 掩模组Mass — action law 质量守恒定律Master-slave D flip-flop 主从D 触发器Matching 匹配Material 材料Maxwell 麦克斯韦Mean free path 平均自由程Mean time before failure (MTBF)平均工作时间Mechanical 机械的Membrane (1)薄腊,膜片(2)隔膜Megeto — resistance 磁阻Mesa 台面MESFET-Metal Semiconductor 金属半导体FET Metalorganic Chemical Vapor Deposition MOCVD 金属氧化物化学汽相淀积Metallization 金属化Metal oxide semiconductor (MOS)金属氧化物半导体MeV 兆电子伏Microelectronic technique 微电子技术Microelectronics 微电子学Microelectromechanical System (MEMS)微电子机械系统Microwave 微波Millimeterwave 毫米波Minority carrier 少数载流子Misfit 失配Mismatching 失配Mobility 迁移率Module 模块Modulate 调制Molecular crystal 分子晶体Monolithic IC 单片MOSFET 金属氧化物半导体场效应晶体管Mount 安装Multiplication 倍增Modulator 调制Multi—chip IC 多芯片ICMulti—chip module(MCM) 多芯片模块Multilayer 多层Multiplication coefficient 倍增因子Multiplexer 复用器Multiplier 倍增器Naked chip 未封装的芯片(裸片) Nanometer 纳米Nanotechnology 纳米技术Negative feedback 负反馈Negative resistance 负阻Negative—temperature-coefficient负温度系数Nesting 套刻Noise figure 噪声系数Nonequilibrium 非平衡Nonvolatile 非挥发(易失)性Normally off/on 常闭/开Nuclear 核Numerical analysis 数值分析Occupied band 满带Offset 偏移、失调On standby 待命状态Ohmic contact 欧姆接触Open circuit 开路Operating point 工作点Operating bias 工作偏置Operational amplifier (OPAMP)运算放大器Optical photon 光子Optical quenching 光猝灭Optical transition 光跃迁Optical—coupled isolator 光耦合隔离器Organic semiconductor 有机半导体Orientation 晶向、定向Oscillator 振荡器Outline 外形Out—of—contact mask 非接触式掩模Output characteristic 输出特性Output power 输出功率Output voltage swing 输出电压摆幅Overcompensation 过补偿Over-current protection 过流保护Over shoot 过冲Over—voltage protection 过压保护Overlap 交迭Overload 过载Oscillator 振荡器Oxide 氧化物Oxidation 氧化Oxide passivation 氧化层钝化Package 封装Pad 压焊点Parameter 参数Parasitic effect 寄生效应Parasitic oscillation 寄生振荡Pass band 通带Passivation 钝化Passive component 无源元件Passive device 无源器件Passive surface 钝化界面Parasitic transistor 寄生晶体管Pattern 图形Payload 有效载荷Peak-point voltage 峰点电压Peak voltage 峰值电压Permanent—storage circuit 永久存储电路Period 周期Permeable — base 可渗透基区Phase—lock loop 锁相环Phase drift 相移Phonon spectra 声子谱Photo conduction 光电导Photo diode 光电二极管Photoelectric cell 光电池Photoelectric effect 光电效应Photonic devices 光子器件Photolithographic process 光刻工艺Photoluminescence 光致发光Photo resist (光敏)抗腐蚀剂Photo mask 光掩模Piezoelectric effect 压电效应Pin 管脚Pinch off 夹断Pinning of Fermi level 费米能级的钉扎(效应)Planar process 平面工艺Planar transistor 平面晶体管Plasma 等离子体Plane 平面的Plasma 等离子体Plate 板电路板P-N junction pn结Poisson equation 泊松方程Point contact 点接触Polarity 极性Polycrystal 多晶Polymer semiconductor 聚合物半导体Poly—silicon 多晶硅Positive 正的Potential (电)势Potential barrier 势垒Potential well 势阱Power electronic devices电力电子器件Power dissipation 功耗Power transistor 功率晶体管Preamplifier 前置放大器Primary flat 主平面Print-circuit board(PCB)印制电路板Probability 几率Probe 探针Procedure 工艺Process 工艺Projector 投影仪Propagation delay 传输延时Proton 质子Proximity effect 邻近效应Pseudopotential method 赝势法Pump 泵浦Punch through 穿通Pulse triggering/modulating 脉冲触发/调制Pulse Widen Modulator(PWM) 脉冲宽度调制Punchthrough 穿通Push—pull stage 推挽级Q Q值Quality factor 品质因子Quantization 量子化Quantum 量子Quantum efficiency 量子效应Quantum mechanics 量子力学Quasi – Fermi-level 准费米能级Quartz 石英Radar 雷达Radiation conductivity 辐射电导率Radiation damage 辐射损伤Radiation flux density 辐射通量密度Radiation hardening 辐射加固Radiation protection 辐射保护Radiative — recombination 辐照复合Radio 无线电射电射频Radio-frequency RF 射频Raman 拉曼Random 随机Range 测距Radio 比率系数Ray 射线Reactive sputtering source 反应溅射源Real time 实时Receiver 接收机Recombination 复合Recovery diode 恢复二极管Record 记录Recovery time 恢复时间Rectifier 整流器(管)Rectifying contact 整流接触Red light 红光Reference 基准点基准参考点Refractive index 折射率Register 寄存器Regulate 控制调整Relative 相对的Relaxation 驰豫Relaxation lifetime 驰豫时间Relay 中继Reliability 可靠性Remote 远程Repeatability 可重复性Reproduction 重复制造Residual current 剩余电流Resonance 谐振Resin 树脂Resistance 电阻Resistor 电阻器Resistivity 电阻率Regulator 稳压管(器) Resolution 分辨率Response time 响应时间Return signal 回波信号Reverse 反向的Reverse bias 反向偏置Ribbon 光纤带Ridge waveguide 脊形波导Ring laser 环形激光器Rotary wave 旋转波Run 运行Sampling circuit 取样电路Sapphire 蓝宝石(Al2O3)Satellite valley 卫星谷Saturated current range 电流饱和区Scan 扫描Scaled down 按比例缩小Schematic layout 示意图,简图Schottky 肖特基Schottky barrier 肖特基势垒Schottky contact 肖特基接触Screen 筛选Scribing grid 划片格Secondary flat 次平面Seed crystal 籽晶Segregation 分凝Selectivity 选择性Self aligned 自对准的Self diffusion 自扩散Semiconductor 半导体Semiconductor laser半导体激光器Semiconductor—controlled rectifier 半导体可控硅Sensitivity 灵敏度Sensor 传感器Serial 串行/串联Series inductance 串联电感Settle time 建立时间Sheet resistance 薄层电阻Shield 屏蔽Shifter 移相器Short circuit 短路Shot noise 散粒噪声Shunt 分流Sidewall capacitance 边墙电容Signal 信号Silica glass 石英玻璃Silicon 硅Silicon carbide 碳化硅Silicon dioxide (SiO2)二氧化硅Silicon Nitride(Si3N4) 氮化硅Silicon On Insulator 绝缘体上硅Silver whiskers 银须Simple cubic 简立方Simulation 模拟Single crystal 单晶Sink 热沉Sinter 烧结Skin effect 趋肤效应Slot 槽隙Slow wave 慢波Smooth 光滑的Subthreshold 亚阈值的Solar battery/cell 太阳能电池Solid circuit 固体电路Solid Solubility 固溶度Solution 溶液Sonband 子带Source 源极Source follower 源随器Space charge 空间电荷Space Craft 宇宙飞行器Spacing 间距Specific heat(PT) 比热Spectral 光谱Spectrum 光谱(复数)Speed-power product 速度功耗乘积Spherical 球面的Spin 自旋Split 分裂Spontaneous emission 自发发射Spot 斑点Spray 喷涂Spreading resistance 扩展电阻Sputter 溅射Square root 平方根Stability 稳定性Stacking fault 层错Standard 标准的Standing wave 驻波State-of-the-art 最新技术Static characteristic 静态特性Statistical analysis 统计分析Steady state 稳态Step motor 步进式电动机Stimulated emission 受激发射Stimulated recombination 受激复合Stopband 阻带Storage time 存储时间Stress 应力Stripline 带状线Subband 次能带Sublimation 升华Submillimeter 亚毫米波Substrate 衬底Substitutional 替位式的Superconductor 超导(电)体Superlattice 超晶格Supply 电源Surface mound表面安装Surge capacity 浪涌能力Switching time 开关时间Switch 开关Synchronizer 同步器,同步装置Synthetic-aperture 合成孔径System 系统Technical 技术的,工艺的Telecommunication 远距通信,电信Telescope 望远镜Terahertz 太赫兹Terminal 终端Template 模板Temperature 温度Tensor 张量Test 测试试验Thermal activation 热激发Thermal conductivity 热导率Thermal equilibrium 热平衡Thermal Oxidation 热氧化Thermal resistance 热阻Thermal sink 热沉Thermal velocity 热运动Thick— film technique 厚膜技术Thin- film hybrid IC 薄膜混合集成电路Thin-Film Transistor(TFT) 薄膜晶体Three dimension 三维Threshold 阈值Through Silicon Via 硅通孔Thyistor 晶闸管Time resolution 时间分辨率Tolerance 公差T/R module 发射/接收模块Transconductance 跨导Transfer characteristic 转移特性Transfer electron 转移电子Transfer function 传输函数Transient 瞬态的Transistor aging(stress) 晶体管老化Transit time 渡越时间Transition 跃迁Transition—metal silica 过度金属硅化物Transition probability 跃迁几率Transition region 过渡区Transmissivity 透射率Transmitter 发射机Transceiver 收发机Transport 输运Transverse 横向的Trap 陷阱Trapping 俘获Trapped charge 陷阱电荷Travelling wave 行波Trigger 触发Trim 调配调整Triple diffusion 三重扩散Tolerance 容差Tube 管子电子管Tuner 调节器Tunnel(ing) 隧道(穿)Tunnel current 隧道电流Turn - off time 关断时间Ultraviolet 紫外的Ultrabright 超亮的Ultrasonic 超声的Underfilling 下填充Undoped 无掺杂Unijunction 单结的Unipolar 单极的Unit cell 原(元)胞Unity— gain frequency 单位增益频率Unilateral-switch 单向开关Vacancy 空位Vacuum 真空Valence(value) band 价带Value band edge 价带顶Valence bond 价键Vapour phase 汽相Varactor 变容管Variable 可变的Vector 矢量Vertical 垂直的Vibration 振动Visible light 可见光Voltage 电压Volt 伏特Wafer 晶片Watt 瓦Wave guide 波导Wavelength 波长Wave-particle duality 波粒二相性Wear-out 烧毁Wetting 浸润Wideband 宽禁带Wire 引线Wire routing 布线Work function 功函数Worst-case device 最坏情况器件X-ray X射线Yield 成品率Zinc 锌。

半导体工艺中的英语词汇

半导体工艺中的英语词汇

AAbrupt junction 突变结Accelerated testing 加速实验Acceptor 受主Acceptor atom 受主原子Accumulation 积累、堆积Accumulating contact 积累接触Accumulation region 积累区Accumulation layer 积累层Active region 有源区Active component 有源元Active device 有源器件Activation 激活Activation energy 激活能Active region 有源(放大)区Admittance 导纳Allowed band 允带Alloy-junction device合金结器件Aluminum(Aluminium)铝Aluminum - oxide 铝氧化物Aluminum passivation 铝钝化Ambipolar 双极的Ambient temperature 环境温度Amorphous 无定形的,非晶体的Amplifier 功放扩音器放大器Analogue(Analog)comparator 模拟比较器Angstrom 埃Anneal 退火Anisotropic 各向异性的Anode 阳极Arsenic (AS)砷Auger 俄歇Auger process 俄歇过程Avalanche 雪崩Avalanche breakdown 雪崩击穿Avalanche excitation雪崩激发BBackground carrier 本底载流子Background doping 本底掺杂Backward 反向Backward bias 反向偏置Ballasting resistor 整流电阻Ball bond 球形键合Band 能带Band gap 能带间隙Barrier 势垒Barrier layer 势垒层Barrier width 势垒宽度Base 基极Base contact 基区接触Base stretching 基区扩展效应Base transit time 基区渡越时间Base transport efficiency基区输运系数Base-width modulation基区宽度调制Basis vector 基矢Bias 偏置Bilateral switch 双向开关Binary code 二进制代码Binary compound semiconductor 二元化合物半导体Bipolar 双极性的Bipolar Junction Transistor (BJT)双极晶体管Bloch 布洛赫Blocking band 阻挡能带Blocking contact 阻挡接触Body - centered 体心立方Body-centred cubic structure 体立心结构Boltzmann 波尔兹曼Bond 键、键合Bonding electron 价电子Bonding pad 键合点Bootstrap circuit 自举电路Bootstrapped emitter follower 自举射极跟随器Boron 硼Borosilicate glass 硼硅玻璃Boundary condition 边界条件Bound electron 束缚电子Breadboard 模拟板、实验板Break down 击穿Break over 转折Brillouin 布里渊Brillouin zone 布里渊区Built-in 内建的Build-in electric field 内建电场Bulk 体/体内Bulk absorption 体吸收Bulk generation 体产生Bulk recombination 体复合Burn - in 老化Burn out 烧毁Buried channel 埋沟Buried diffusion region 隐埋扩散区CCan 外壳Capacitance 电容Capture cross section 俘获截面Capture carrier 俘获载流子Carrier 载流子、载波Carry bit 进位位Carry-in bit 进位输入Carry-out bit 进位输出Cascade 级联Case 管壳Cathode 阴极Center 中心Ceramic 陶瓷(的)Channel 沟道Channel breakdown 沟道击穿Channel current 沟道电流Channel doping 沟道掺杂Channel shortening 沟道缩短Channel width 沟道宽度Characteristic impedance 特征阻抗Charge 电荷、充电Charge-compensation effects 电荷补偿效应Charge conservation 电荷守恒Charge neutrality condition 电中性条件Charge drive/exchange/sharing/transfer/storage 电荷驱动/交换/共享/转移/存储Chemmical etching 化学腐蚀法Chemically-Polish 化学抛光Chemmically-Mechanically Polish (CMP)化学机械抛光Chip 芯片Chip yield 芯片成品率Clamped 箝位Clamping diode 箝位二极管Cleavage plane 解理面Clock rate 时钟频率Clock generator 时钟发生器Clock flip-flop 时钟触发器Close-packed structure 密堆积结构Close-loop gain 闭环增益Collector 集电极Collision 碰撞Compensated OP-AMP 补偿运放Common-base/collector/emitter connection 共基极/集电极/发射极连接Common-gate/drain/source connection 共栅/漏/源连接Common-mode gain 共模增益Common-mode input 共模输入Common-mode rejection ratio (CMRR)共模抑制比Compatibility 兼容性Compensation 补偿Compensated impurities 补偿杂质Compensated semiconductor 补偿半导体Complementary Darlington circuit 互补达林顿电路Complementary Metal-Oxide-Semiconductor Field-Effect-Transistor(CMOS)互补金属氧化物半导体场效应晶体管Complementary error function 余误差函数Computer-aided design (CAD)/test(CAT)/manufacture(CAM)计算机辅助设计/ 测试/制造Compound Semiconductor 化合物半导体Conductance 电导Conduction band (edge)导带(底)Conduction level/state 导带态Conductor 导体Conductivity 电导率Configuration 组态Conlomb 库仑Conpled Configuration Devices 结构组态Constants 物理常数Constant energy surface 等能面Constant-source diffusion恒定源扩散Contact 接触Contamination 治污Continuity equation 连续性方程Contact hole 接触孔Contact potential 接触电势Continuity condition 连续性条件Contra doping 反掺杂Controlled 受控的Converter 转换器Conveyer 传输器Copper interconnection system 铜互连系统Couping 耦合Covalent 共阶的Crossover 跨交Critical 临界的Crossunder 穿交Crucible坩埚Crystal defect/face/orientation/lattice 晶体缺陷/晶面/晶向/晶格Current density 电流密度Curvature 曲率Cut off 截止Current drift/dirve/sharing 电流漂移/驱动/共享Current Sense 电流取样Curvature 弯曲Custom integrated circuit 定制集成电路Cylindrical 柱面的Czochralshicrystal 直立单晶Czochralski technique 切克劳斯基技术(Cz法直拉晶体J)DDangling bonds 悬挂键Dark current 暗电流Dead time 空载时间Debye length 德拜长度De.broglie 德布洛意Decderate 减速Decibel (dB)分贝Decode 译码Deep acceptor level 深受主能级Deep donor level 深施主能级Deep impurity level 深度杂质能级Deep trap 深陷阱Defeat 缺陷Degenerate semiconductor 简并半导体Degeneracy 简并度Degradation 退化Degree Celsius(centigrade)/Kelvin 摄氏/开氏温度Delay 延迟Density 密度Density of states 态密度Depletion 耗尽Depletion approximation 耗尽近似Depletion contact 耗尽接触Depletion depth 耗尽深度Depletion effect 耗尽效应Depletion layer 耗尽层Depletion MOS 耗尽MOSDepletion region 耗尽区Deposited film 淀积薄膜Deposition process 淀积工艺Design rules 设计规则Die 芯片(复数dice)Diode 二极管Dielectric 介电的Dielectric isolation 介质隔离Difference-mode input 差模输入Differential amplifier 差分放大器Differential capacitance 微分电容Diffused junction 扩散结Diffusion 扩散Diffusion coefficient 扩散系数Diffusion constant 扩散常数Diffusivity 扩散率Diffusion capacitance/barrier/current/furnace 扩散电容/势垒/电流/炉Digital circuit 数字电路Dipole domain 偶极畴Dipole layer 偶极层Direct-coupling 直接耦合Direct-gap semiconductor 直接带隙半导体Direct transition 直接跃迁Discharge 放电Discrete component 分立元件Dissipation 耗散Distribution 分布Distributed capacitance 分布电容Distributed model 分布模型Displacement 位移Dislocation 位错Domain 畴Donor 施主Donor exhaustion 施主耗尽Dopant 掺杂剂Doped semiconductor 掺杂半导体Doping concentration 掺杂浓度Double-diffusive MOS(DMOS)双扩散MOS.Drift 漂移Drift field 漂移电场Drift mobility 迁移率Dry etching 干法腐蚀Dry/wet oxidation 干/湿法氧化Dose 剂量Duty cycle 工作周期Dual-in-line package (DIP)双列直插式封装Dynamics 动态Dynamic characteristics 动态属性Dynamic impedance 动态阻抗EEarly effect 厄利效应Early failure 早期失效Effective mass 有效质量Einstein relation(ship)爱因斯坦关系Electric Erase Programmable Read Only Memory(E2PROM)一次性电可擦除只读存储器Electrode 电极Electrominggratim 电迁移Electron affinity 电子亲和势Electronic -grade 电子能Electron-beam photo-resist exposure 光致抗蚀剂的电子束曝光Electron gas 电子气Electron-grade water 电子级纯水Electron trapping center 电子俘获中心Electron Volt (eV)电子伏Electrostatic 静电的Element 元素/元件/配件Elemental semiconductor 元素半导体Ellipse 椭圆Ellipsoid 椭球Emitter 发射极Emitter-coupled logic 发射极耦合逻辑Emitter-coupled pair 发射极耦合对Emitter follower 射随器Empty band 空带Emitter crowding effect 发射极集边(拥挤)效应Endurance test =life test 寿命测试Energy state 能态Energy momentum diagram 能量-动量(E-K)图Enhancement mode 增强型模式Enhancement MOS 增强性MOS Entefic (低)共溶的Environmental test 环境测试Epitaxial 外延的Epitaxial layer 外延层Epitaxial slice 外延片Expitaxy 外延Equivalent curcuit 等效电路Equilibrium majority /minority carriers 平衡多数/少数载流子Erasable Programmable ROM (EPROM)可搽取(编程)存储器Error function complement (erfc)余误差函数Etch 刻蚀Etchant 刻蚀剂Etching mask 抗蚀剂掩模Excess carrier 过剩载流子Excitation energy 激发能Excited state 激发态Exciton 激子Extrapolation 外推法Extrinsic 非本征的Extrinsic semiconductor 杂质半导体FFace - centered 面心立方Fall time 下降时间Fan-in 扇入Fan-out 扇出Fast recovery 快恢复Fast surface states 快界面态Feedback 反馈Fermi level 费米能级Fermi-Dirac Distribution 费米-狄拉克分布Femi potential 费米势Fick equation 菲克方程(扩散)Field effect transistor 场效应晶体管Field oxide 场氧化层Filled band 满带Film 薄膜Flash memory 闪烁存储器Flat band 平带Flat pack 扁平封装Flicker noise 闪烁(变)噪声Flip-flop toggle 触发器翻转Floating gate 浮栅Fluoride etch 氟化氢刻蚀Forbidden band 禁带Forward bias 正向偏置Forward blocking /conducting正向阻断/导通Frequency deviation noise频率漂移噪声Frequency response 频率响应Function 函数GGain 增益Gallium-Arsenide(GaAs)砷化钾Gamy ray r 射线Gate 门、栅、控制极Gate oxide 栅氧化层Gauss(ian)高斯Gaussian distribution profile 高斯掺杂分布Generation-recombination 产生-复合Geometries 几何尺寸Germanium(Ge)锗Graded 缓变的Graded (gradual)channel 缓变沟道Graded junction 缓变结Grain 晶粒Gradient 梯度Grown junction 生长结Guard ring 保护环Gummel-Poom model 葛谋-潘模型Gunn - effect 狄氏效应HHardened device 辐射加固器件Heat of formation 形成热Heat sink 散热器、热沉Heavy/light hole band 重/轻空穴带Heavy saturation 重掺杂Hell - effect 霍尔效应Heterojunction 异质结Heterojunction structure 异质结结构Heterojunction Bipolar Transistor(HBT)异质结双极型晶体High field property 高场特性High-performance MOS.(H-MOS)高性能MOS. Hormalized 归一化Horizontal epitaxial reactor 卧式外延反应器Hot carrior 热载流子Hybrid integration 混合集成IImage - force 镜象力Impact ionization 碰撞电离Impedance 阻抗Imperfect structure 不完整结构Implantation dose 注入剂量Implanted ion 注入离子Impurity 杂质Impurity scattering 杂质散射Incremental resistance 电阻增量(微分电阻)In-contact mask 接触式掩模Indium tin oxide (ITO)铟锡氧化物Induced channel 感应沟道Infrared 红外的Injection 注入Input offset voltage 输入失调电压Insulator 绝缘体Insulated Gate FET(IGFET)绝缘栅FET Integrated injection logic集成注入逻辑Integration 集成、积分Interconnection 互连Interconnection time delay 互连延时Interdigitated structure 交互式结构Interface 界面Interference 干涉International system of unions国际单位制Internally scattering 谷间散射Interpolation 内插法Intrinsic 本征的Intrinsic semiconductor 本征半导体Inverse operation 反向工作Inversion 反型Inverter 倒相器Ion 离子Ion beam 离子束Ion etching 离子刻蚀Ion implantation 离子注入Ionization 电离Ionization energy 电离能Irradiation 辐照Isolation land 隔离岛Isotropic 各向同性JJunction FET(JFET)结型场效应管Junction isolation 结隔离Junction spacing 结间距Junction side-wall 结侧壁LLatch up 闭锁Lateral 横向的Lattice 晶格Layout 版图Lattice binding/cell/constant/defect/distortion 晶格结合力/晶胞/晶格/晶格常熟/晶格缺陷/晶格畸变Leakage current (泄)漏电流Level shifting 电平移动Life time 寿命linearity 线性度Linked bond 共价键Liquid Nitrogen 液氮Liquid-phase epitaxial growth technique 液相外延生长技术Lithography 光刻Light Emitting Diode(LED)发光二极管Load line or Variable 负载线Locating and Wiring 布局布线Longitudinal 纵向的Logic swing 逻辑摆幅Lorentz 洛沦兹Lumped model 集总模型MMajority carrier 多数载流子Mask 掩膜板,光刻板Mask level 掩模序号Mask set 掩模组Mass - action law质量守恒定律Master-slave D flip-flop主从D触发器Matching 匹配Maxwell 麦克斯韦Mean free path 平均自由程Meandered emitter junction梳状发射极结Mean time before failure (MTBF)平均工作时间Megeto - resistance 磁阻Mesa 台面MESFET-Metal Semiconductor金属半导体FETMetallization 金属化Microelectronic technique 微电子技术Microelectronics 微电子学Millen indices 密勒指数Minority carrier 少数载流子Misfit 失配Mismatching 失配Mobile ions 可动离子Mobility 迁移率Module 模块Modulate 调制Molecular crystal分子晶体Monolithic IC 单片IC MOSFET金属氧化物半导体场效应晶体管Mos. Transistor(MOST )MOS. 晶体管Multiplication 倍增Modulator 调制Multi-chip IC 多芯片ICMulti-chip module(MCM)多芯片模块Multiplication coefficient倍增因子NNaked chip 未封装的芯片(裸片)Negative feedback 负反馈Negative resistance 负阻Nesting 套刻Negative-temperature-coefficient 负温度系数Noise margin 噪声容限Nonequilibrium 非平衡Nonrolatile 非挥发(易失)性Normally off/on 常闭/开Numerical analysis 数值分析OOccupied band 满带Officienay 功率Offset 偏移、失调On standby 待命状态Ohmic contact 欧姆接触Open circuit 开路Operating point 工作点Operating bias 工作偏置Operational amplifier (OPAMP)运算放大器Optical photon =photon 光子Optical quenching光猝灭Optical transition 光跃迁Optical-coupled isolator光耦合隔离器Organic semiconductor有机半导体Orientation 晶向、定向Outline 外形Out-of-contact mask非接触式掩模Output characteristic 输出特性Output voltage swing 输出电压摆幅Overcompensation 过补偿Over-current protection 过流保护Over shoot 过冲Over-voltage protection 过压保护Overlap 交迭Overload 过载Oscillator 振荡器Oxide 氧化物Oxidation 氧化Oxide passivation 氧化层钝化PPackage 封装Pad 压焊点Parameter 参数Parasitic effect 寄生效应Parasitic oscillation 寄生振荡Passination 钝化Passive component 无源元件Passive device 无源器件Passive surface 钝化界面Parasitic transistor 寄生晶体管Peak-point voltage 峰点电压Peak voltage 峰值电压Permanent-storage circuit 永久存储电路Period 周期Periodic table 周期表Permeable - base 可渗透基区Phase-lock loop 锁相环Phase drift 相移Phonon spectra 声子谱Photo conduction 光电导Photo diode 光电二极管Photoelectric cell 光电池Photoelectric effect 光电效应Photoenic devices 光子器件Photolithographic process 光刻工艺(photo)resist (光敏)抗腐蚀剂Pin 管脚Pinch off 夹断Pinning of Fermi level 费米能级的钉扎(效应)Planar process 平面工艺Planar transistor 平面晶体管Plasma 等离子体Plezoelectric effect 压电效应Poisson equation 泊松方程Point contact 点接触Polarity 极性Polycrystal 多晶Polymer semiconductor聚合物半导体Poly-silicon 多晶硅Potential (电)势Potential barrier 势垒Potential well 势阱Power dissipation 功耗Power transistor 功率晶体管Preamplifier 前置放大器Primary flat 主平面Principal axes 主轴Print-circuit board(PCB)印制电路板Probability 几率Probe 探针Process 工艺Propagation delay 传输延时Pseudopotential method 膺势发Punch through 穿通Pulse triggering/modulating 脉冲触发/调制Pulse Widen Modulator(PWM)脉冲宽度调制punchthrough 穿通Push-pull stage 推挽级QQuality factor 品质因子Quantization 量子化Quantum 量子Quantum efficiency量子效应Quantum mechanics 量子力学Quasi - Fermi-level准费米能级Quartz 石英RRadiation conductivity 辐射电导率Radiation damage 辐射损伤Radiation flux density 辐射通量密度Radiation hardening 辐射加固Radiation protection 辐射保护Radiative - recombination辐照复合Radioactive 放射性Reach through 穿通Reactive sputtering source 反应溅射源Read diode 里德二极管Recombination 复合Recovery diode 恢复二极管Reciprocal lattice 倒核子Recovery time 恢复时间Rectifier 整流器(管)Rectifying contact 整流接触Reference 基准点基准参考点Refractive index 折射率Register 寄存器Registration 对准Regulate 控制调整Relaxation lifetime 驰豫时间Reliability 可*性Resonance 谐振Resistance 电阻Resistor 电阻器Resistivity 电阻率Regulator 稳压管(器)Relaxation 驰豫Resonant frequency共射频率Response time 响应时间Reverse 反向的Reverse bias 反向偏置SSampling circuit 取样电路Sapphire 蓝宝石(Al2O3)Satellite valley 卫星谷Saturated current range电流饱和区Saturation region 饱和区Saturation 饱和的Scaled down 按比例缩小Scattering 散射Schockley diode 肖克莱二极管Schottky 肖特基Schottky barrier 肖特基势垒Schottky contact 肖特基接触Schrodingen 薛定厄Scribing grid 划片格Secondary flat 次平面Seed crystal 籽晶Segregation 分凝Selectivity 选择性Self aligned 自对准的Self diffusion 自扩散Semiconductor 半导体Semiconductor-controlled rectifier 可控硅Sendsitivity 灵敏度Serial 串行/串联Series inductance 串联电感Settle time 建立时间Sheet resistance 薄层电阻Shield 屏蔽Short circuit 短路Shot noise 散粒噪声Shunt 分流Sidewall capacitance 边墙电容Signal 信号Silica glass 石英玻璃Silicon 硅Silicon carbide 碳化硅Silicon dioxide (SiO2)二氧化硅Silicon Nitride(Si3N4)氮化硅Silicon On Insulator 绝缘硅Siliver whiskers 银须Simple cubic 简立方Single crystal 单晶Sink 沉Skin effect 趋肤效应Snap time 急变时间Sneak path 潜行通路Sulethreshold 亚阈的Solar battery/cell 太阳能电池Solid circuit 固体电路Solid Solubility 固溶度Sonband 子带Source 源极Source follower 源随器Space charge 空间电荷Specific heat(PT)热Speed-power product 速度功耗乘积Spherical 球面的Spin 自旋Split 分裂Spontaneous emission 自发发射Spreading resistance扩展电阻Sputter 溅射Stacking fault 层错Static characteristic 静态特性Stimulated emission 受激发射Stimulated recombination 受激复合Storage time 存储时间Stress 应力Straggle 偏差Sublimation 升华Substrate 衬底Substitutional 替位式的Superlattice 超晶格Supply 电源Surface 表面Surge capacity 浪涌能力Subscript 下标Switching time 开关时间Switch 开关TTailing 扩展Terminal 终端Tensor 张量Tensorial 张量的Thermal activation 热激发Thermal conductivity 热导率Thermal equilibrium 热平衡Thermal Oxidation 热氧化Thermal resistance 热阻Thermal sink 热沉Thermal velocity 热运动Thermoelectricpovoer 温差电动势率Thick-film technique 厚膜技术Thin-film hybrid IC薄膜混合集成电路Thin-Film Transistor(TFT)薄膜晶体Threshlod 阈值Thyistor 晶闸管Transconductance 跨导Transfer characteristic 转移特性Transfer electron 转移电子Transfer function 传输函数Transient 瞬态的Transistor aging(stress)晶体管老化Transit time 渡越时间Transition 跃迁Transition-metal silica 过度金属硅化物Transition probability 跃迁几率Transition region 过渡区Transport 输运Transverse 横向的Trap 陷阱Trapping 俘获Trapped charge 陷阱电荷Triangle generator 三角波发生器Triboelectricity 摩擦电Trigger 触发Trim 调配调整Triple diffusion 三重扩散Truth table 真值表Tolerahce 容差Tunnel(ing)隧道(穿)Tunnel current 隧道电流Turn over 转折Turn - off time 关断时间UUltraviolet 紫外的Unijunction 单结的Unipolar 单极的Unit cell 原(元)胞Unity-gain frequency 单位增益频率Unilateral-switch单向开关VVacancy 空位Vacuum 真空Valence(value)band 价带Value band edge 价带顶Valence bond 价键Vapour phase 汽相Varactor 变容管Varistor 变阻器Vibration 振动Voltage 电压WWafer 晶片Wave equation 波动方程Wave guide 波导Wave number 波数Wave-particle duality 波粒二相性Wear-out 烧毁Wire routing 布线Work function 功函数Worst-case device 最坏情况器件Yield 成品率Zener breakdown 齐纳击穿。

半导体专业英语词汇

半导体专业英语词汇

半导体专业词汇1. acceptance testing (WA T: wafer acceptance testing)2. acceptor: 受主,如B,掺入Si中需要接受电子3. ACCESS:一个EDA(Engineering Data Analysis)系统4. Acid:酸5. Active device:有源器件,如MOS FET(非线性,可以对信号放大)6. Align mark(key):对位标记7. Alloy:合金8. Aluminum:铝9. Ammonia:氨水10. Ammonium fluoride:NH4F11. Ammonium hydroxide:NH4OH12. Amorphous silicon:α-Si,非晶硅(不是多晶硅)13. Analog:模拟的14. Angstrom:A(1E-10m)埃15. Anisotropic:各向异性(如POL Y ETCH)16. AQL(Acceptance Quality Level):接受质量标准,在一定采样下,可以95%置信度通过质量标准(不同于可靠性,可靠性要求一定时间后的失效率)17. ARC(Antireflective coating):抗反射层(用于METAL等层的光刻)18. Antimony(Sb)锑19. Argon(Ar)氩20. Arsenic(As)砷21. Arsenic trioxide(As2O3)三氧化二砷22. Arsine(AsH3)23. Asher:去胶机24. Aspect ration:形貌比(ETCH中的深度、宽度比)25. Autodoping:自搀杂(外延时SUB的浓度高,导致有杂质蒸发到环境中后,又回掺到外延层)26. Back end:后段(CONTACT以后、PCM测试前)27. Baseline:标准流程28. Benchmark:基准29. Bipolar:双极30. Boat:扩散用(石英)舟31. CD:(Critical Dimension)临界(关键)尺寸。

半导体行业专业英语名词解释

半导体行业专业英语名词解释
角度研磨
12
ANGSTRON

13
)APCVD(ATMOSPRESSURE
常压化学气相沉积
14
AS75

15
ASHING,STRIPPING
电浆光阻去除
16
ASSEMBLY
晶粒封装
17
BACK GRINDING
晶背研磨
18
BAKE, SOFT BAKE, HARD BAKE
烘烤,软烤,预烤
19
BF2
49
DI WATER
去离子水
50
DOPING
参入杂质
51
DRAM , SRAM
动态,静态随机存取内存
52
DRIVE IN
驱入
53
E-BEAM LITHOGRAPHY
电子束微影技术
54
EFR(EARLY FAILURERATE)
早期故障率
55
ELECTROMIGRATION
电子迁移
56
ELECTRON/HOLE
电子/电洞
57
ELLIPSOMETER
椭圆测厚仪
58
EM(ELECTRO MIGRATION TEST)
电子迁移可靠度测试
59
END POINT DETECTOR
终点侦测器
60
ENERGY
能量
61
EPI WAFER
磊晶芯片
62
EPROM (ERASABLE-PROGRAMMABLE ROM)
电子可程序只读存储器
临时性制程变更通知
180
TEOS(TETRAETHYLOR THOSILICATE)
四乙基氧化硅

微电子专业英语翻译

微电子专业英语翻译

当超量载流子被导入一个直接禁带半导体时,电子与空穴直接复合的几率 较高,这是因为导带的底部与价带的顶端位于同一线上,因此在禁带间跃 迁时,无需额外的动量。直接复合率R应正比于导带中含有的电子数目及 价带中含有的空穴数目。也就是 R=βnp 。其中β为比例常数。
As discussed previously, in thermal equilibrium the recombination rate must be balanced by the generation rate . Therefore , for an n-type semiconductor, we have Gth=Rth=βn no p no where nno and pno represent electron and hole densities in an n-type semiconductor at thermal equilibrium. When we shine a light on the semiconductor to produce electron-hole pairs at a rate GL(Fig.2.11(b)), the carrier concentrations are above their equilibrium values.
当超量载流子被导入一个直接禁带半导体时电子与空穴直接复合的几率较高这是因为导带的底部与价带的顶端位于同一线上因此在禁带间跃迁时无需额外的动量
2.5 Generation and Recombination Processes 载流子产生与复合过程
3. Characteristics of Diodes二极管特性 3.1 Introduction介绍
当电子从导带向下移到价带, 一个电子 - 空穴对消失。这 种反向过程称为复合,并以 复 合 率 Rth 表 示 , 如 图 2.11 ( a )所示。在热平衡状态 下,产生速率 Gth 必定等于 复合率 Rth ,所以载流子浓 度维持常数,且维持 pn=ni2 的状况。

半导体一些术语的中英文对照

半导体一些术语的中英文对照

半导体一些术语的中英文对照离子注入机ion implanterLSS理论Lindhand Scharff and Schiott theory 又称“林汉德—斯卡夫—斯高特理论".沟道效应channeling effect射程分布range distribution深度分布depth distribution投影射程projected range阻止距离stopping distance阻止本领stopping power标准阻止截面standard stopping cross section 退火annealing激活能activation energy等温退火isothermal annealing激光退火laser annealing应力感生缺陷stress-induced defect择优取向preferred orientation制版工艺mask—making technology图形畸变pattern distortion初缩first minification精缩final minification母版master mask铬版chromium plate干版dry plate乳胶版emulsion plate透明版see—through plate高分辨率版high resolution plate,HRP超微粒干版plate for ultra-microminiaturization 掩模mask掩模对准mask alignment对准精度alignment precision光刻胶photoresist又称“光致抗蚀剂”。

负性光刻胶negative photoresist正性光刻胶positive photoresist无机光刻胶inorganic resist多层光刻胶multilevel resist电子束光刻胶electron beam resistX射线光刻胶X-ray resist刷洗scrubbing甩胶spinning涂胶photoresist coating后烘postbaking光刻photolithographyX射线光刻X-ray lithography电子束光刻electron beam lithography离子束光刻ion beam lithography深紫外光刻deep-UV lithography光刻机mask aligner投影光刻机projection mask aligner曝光exposure接触式曝光法contact exposure method接近式曝光法proximity exposure method光学投影曝光法optical projection exposure method 电子束曝光系统electron beam exposure system分步重复系统step-and—repeat system显影development线宽linewidth去胶stripping of photoresist氧化去胶removing of photoresist by oxidation等离子[体]去胶removing of photoresist by plasma 刻蚀etching干法刻蚀dry etching反应离子刻蚀reactive ion etching, RIE各向同性刻蚀isotropic etching各向异性刻蚀anisotropic etching反应溅射刻蚀reactive sputter etching离子铣ion beam milling又称“离子磨削”。

PrinciplesofElectronicMaterialsandDevices第三版课后练习题含

PrinciplesofElectronicMaterialsandDevices第三版课后练习题含

Principles of Electronic Materials and Devices 第三版课后练习题含答案1. 课后练习题解答Chapter 1: Introduction1.1 Why are doped semiconductors more conductive than pure semiconductors?Doping introduces impurities into the semiconductor which create either extra electrons (n-type doping) or electron holes (p-type doping). These extra carriers increase the conductivity of the material.1.2 What is the difference between an intrinsic semiconductor and an extrinsic semiconductor?An intrinsic semiconductor is a pure semiconductor without any intentional impurities. An extrinsic semiconductor is a semiconductor with intentionally added impurities.1.3 What are the differences between a metal and a semiconductor?Metals have a high conductivity and a low bandgap, meaning they have many free electrons and can conduct electricity easily. Semiconductors have a moderate conductivity and a moderate bandgap, meaning theyconduct electricity under certn conditions.1.4 What is the difference between a conductor and an insulator?Conductors have a high conductivity and allow electricity to flow easily. Insulators have a low conductivity and do not allow electricity to flow easily.1.5 What are valence electrons?Valence electrons are the outermost electrons in an atom that are involved in chemical bonding.Chapter 2: Crystal Structures2.1 What is a crystal lattice?A crystal lattice is the regular arrangement of atoms in a crystal.2.2 What is a unit cell?A unit cell is the smallest repeating unit of a crystal lattice.2.3 What is coordination number?Coordination number is the number of nearest neighbor atoms surrounding a given atom in a crystal lattice.2.4 What is a Miller index?Miller index is a notation used to describe planes and directions ina crystal lattice.2.5 What is a defect in a crystal lattice?A defect is an irregularity or imperfection in the crystal lattice structure.Chapter 3: Semiconductor Physics3.1 What is Fermi level?Fermi level is the energy level at which there is a 50% chance of an electron being occupied.3.2 What is intrinsic carrier concentration?Intrinsic carrier concentration is the concentration of electrons and holes in an intrinsic semiconductor at a certn temperature.3.3 What is a doping concentration?Doping concentration is the concentration of impurities (dopants) added to a semiconductor to increase conductivity.3.4 What is drift current?Drift current is the current generated by the movement of charge carriers in an electric field.3.5 What is diffusion current?Diffusion current is the current generated by the movement of charge carriers from an area of high concentration to an area of low concentration.2. 参考文献1.S.O. Kasap,。

分子动力学加电场;lammps

分子动力学加电场;lammps

分子动力学加电场;lammpsEnglish Response:Introduction.Molecular dynamics simulations with applied electric fields are widely used to investigate the behavior of charged materials, such as ions in electrolyte solutions or proteins in biological systems. LAMMPS (Large-scaleAtomic/Molecular Massively Parallel Simulator) is a popular molecular dynamics simulation package that offers a versatile platform for performing simulations with applied electric fields.Setting up the Simulation.To set up a molecular dynamics simulation with an applied electric field in LAMMPS, several key steps are involved:1. Define the System: The first step is to define the simulation system, including the molecular structure, atomic charges, and simulation box.2. Create the Input Script: An input script is created to specify the simulation parameters, such as the force field, timestep, and simulation length.3. Apply the Electric Field: An electric field is applied to the system using the "fix efield" command. This command specifies the magnitude and direction of the electric field.4. Run the Simulation: The simulation is run using the "run" command.Analysis of Results.Once the simulation is complete, the results can be analyzed to understand the effect of the electric field on the system. Some common analysis methods include:1. Particle Trajectories: The trajectories ofindividual particles can be tracked to observe their motion under the influence of the electric field.2. Radial Distribution Functions: Radial distribution functions can be calculated to analyze the distribution of particles around a central particle.3. Electric Potential: The electric potential distribution within the simulation box can be computed to visualize the effect of the electric field on the system.Example Input Script.Below is an example input script for a molecular dynamics simulation with an applied electric field in LAMMPS:units real.atom_style full.read_data mmps.fix efield all efield 0.0 0.0 1.0 1.0e5 v_global #Apply electric field along z-axis with magnitude 1e5 V/m.run 100000。

半导体物理英语词汇

半导体物理英语词汇

半导体物理英语词汇Semiconductor physics is a fascinating field that delves into the behavior of materials between conductors and insulators. It's the science behind the tiny chips that power our modern devices.The term "semiconductor" itself refers to a substance whose electrical conductivity can be precisely controlled. Silicon and germanium are common examples, widely used in the creation of electronic components.One key concept in semiconductor physics is the "band gap," which is the energy range in a material where noelectron states can exist. This gap is crucial for the functioning of semiconductor devices like diodes and transistors.The "PN junction" is another fundamental concept, where two types of semiconductor material, P-type and N-type, are joined together, creating a boundary that allows for the control of electric current flow."Doping" is the process of intentionally introducing impurities into a semiconductor to change its electrical properties. This technique is essential for tailoring the behavior of semiconductor devices."Photovoltaic effect" is the principle behind solar cells,where light energy is converted into electrical energy using semiconductor materials."Quantum wells" and "quantum dots" are advanced concepts in semiconductor physics, where the confinement of electrons in a small space leads to unique quantum mechanical effects.Lastly, "semiconductor lasers" are devices that emitlight when electrically stimulated, and they are integral to modern communication systems and data storage technologies.Understanding these terms is essential for anyone looking to explore the intricate world of semiconductor physics and its applications in technology.。

电力电子专业英语单词汇总

电力电子专业英语单词汇总

电力电子专业英语单词汇总电路的基本概念及定律电源 source电压源 voltage source电流源 current source理想电压源 ideal voltage source理想电流源 ideal current source伏安特性 volt-ampere characteristic电动势 electromotive force电压 voltage电流 current电位 potential电位差 potential difference欧姆 Ohm伏特 Volt安培 Ampere瓦特 Watt焦耳 Joule电路 circuit电路元件 circuit element电阻 resistance电阻器 resistor电感 inductance电感器 inductor电容 capacitance电容器 capacitor电路模型 circuit model参考方向 reference direction参考电位 reference potential欧姆定律Ohm’s law基尔霍夫定律Kirchhoff’s law基尔霍夫电压定律Kirchhoff’s voltage law(KVL)基尔霍夫电流定律Kirchhoff’s current law(KCL)结点 node支路 branch回路 loop网孔 mesh支路电流法 branch current analysis网孔电流法 mesh current analysis结点电位法 node voltage analysis电源变换 source transformations叠加原理 superposition theorem网络 network无源二端网络 passive two-terminal network有源二端网络 active two-terminal network戴维宁定理 Th evenin’s theorem 诺顿定理Norton’s theorem开路(断路)open circuit短路 short circuit开路电压 open-circuit voltage短路电流 short-circuit current交流电路直流电路 direct current circuit (dc)交流电路 alternating current circuit (ac)正弦交流电路 sinusoidal a-c circuit平均值 average value有效值 effective value均方根值root-mean-squire value (rms)瞬时值 instantaneous value电抗 reactance感抗 inductive reactance容抗 capacitive reactance法拉 Farad亨利 Henry阻抗 impedance复数阻抗 complex impedance相位 phase初相位 initial phase相位差 phase difference相位领先 phase lead相位落后 phase lag倒相,反相 phase inversion频率 frequency角频率 angular frequency赫兹 Hertz相量 phasor相量图 phasor diagram有功功率 active power无功功率 reactive power视在功率 apparent power功率因数 power factor功率因数补偿 power-factor compensation串联谐振 series resonance并联谐振 parallel resonance谐振频率 resonance frequency频率特性 frequency characteristic幅频特性amplitude-frequency response characteristic相频特性 phase-frequency response characteristic 截止频率 cutoff frequency品质因数 quality factor通频带 pass-band带宽 bandwidth (BW)滤波器 filter一阶滤波器 first-order filter二阶滤波器 second-order filter低通滤波器 low-pass filter高通滤波器 high-pass filter带通滤波器 band-pass filter带阻滤波器 band-stop filter转移函数 transfer function波特图 Bode diagram傅立叶级数 Fourier series三相电路 three-phase circuit三相电源 three-phase source对称三相电源 symmetrical three-phase source对称三相负载 symmetrical three-phase load相电压 phase voltage相电流 phase current线电压 line voltage线电流 line current三相三线制 three-phase three-wire system三相四线制 three-phase four-wire system三相功率 three-phase power星形连接 star connection(Y-connection)三角形连接triangular connection(D- connection ,delta connection)中线 neutral line电路的暂态过程分析暂态 transient state稳态 steady state暂态过程,暂态响应 transient response换路定理 low of switch一阶电路 first-order circuit三要素法 three-factor method时间常数 time constant积分电路 integrating circuit微分电路 differentiating circuit磁路与变压器磁场magnetic field磁通 flux磁路 magnetic circuit磁感应强度 flux density磁通势 magnetomotive force磁阻 reluctance电动机直流电动机 dc motor交流电动机 ac motor异步电动机 asynchronous motor同步电动机 synchronous motor三相异步电动机 three-phase asynchronous motor单相异步电动机 single-phase asynchronous motor 旋转磁场 rotating magnetic field定子 stator转子 rotor转差率 slip起动电流 starting current起动转矩 starting torque额定电压 rated voltage额定电流 rated current额定功率 rated power机械特性 mechanical characteristic继电器-接触器控制按钮 button熔断器 fuse开关 switch行程开关 travel switch继电器 relay接触器 contactor常开(动合)触点 normally open contact常闭(动断)触点 normally closed contact时间继电器 time relay热继电器 thermal overload relay中间继电器 intermediate relay可编程控制器(PLC)可编程控制器 programmable logic controller语句表 statement list梯形图 ladder diagram半导体器件本征半导体intrinsic semiconductor 掺杂半导体doped semiconductorP型半导体 P-type semiconductorN型半导体 N--type semiconductor自由电子 free electron空穴 hole载流子 carriersPN结 PN junction扩散 diffusion漂移 drift二极管 diode硅二极管 silicon diode锗二极管 germanium diode阳极 anode阴极 cathode发光二极管 light-emitting diode (LED)光电二极管 photodiode稳压二极管 Zener diode晶体管(三极管) transistorPNP型晶体管 PNP transistorNPN型晶体管 NPN transistor发射极 emitter集电极 collector基极 base电流放大系数 current amplification coefficient 场效应管 field-effect transistor (FET)P沟道 p-channelN沟道 n-channel结型场效应管 junction FET(JFET)金属氧化物半导体 metal-oxide semiconductor (MOS) 耗尽型MOS场效应管depletion mode MOSFET (D-MOSFET)增强型MOS场效应管enhancement mode MOSFET (E-MOSFET)源极 source栅极 grid漏极 drain跨导 transconductance夹断电压 pinch-off voltage热敏电阻 thermistor开路 open短路 shorted基本放大器放大器 amplifier正向偏置 forward bias反向偏置 backward bias静态工作点 quiescent point (Q-point)等效电路 equivalent circuit电压放大倍数 voltage gain总的电压放大倍数 overall voltage gain饱和 saturation截止 cut-off放大区 amplifier region饱和区 saturation region截止区 cut-off region失真 distortion饱和失真 saturation distortion截止失真 cut-off distortion零点漂移 zero drift正反馈 positive feedback负反馈 negative feedback串联负反馈 series negative feedback并联负反馈 parallel negative feedback共射极放大器 common-emitter amplifier射极跟随器 emitter-follower共源极放大器 common-source amplifier共漏极放大器 common-drain amplifier多级放大器 multistage amplifier阻容耦合放大器resistance-capacitance coupled amplifier 直接耦合放大器 direct- coupled amplifier输入电阻 input resistance输出电阻 output resistance负载电阻 load resistance动态电阻 dynamic resistance负载电流 load current旁路电容 bypass capacitor耦合电容 coupled capacitor直流通路 direct current path交流通路 alternating current path直流分量 direct current component交流分量 alternating current component变阻器(电位器)rheostat电阻(器)resistor电阻(值)resistance电容(器)capacitor电容(量)capacitance电感(器,线圈)inductor电感(量),感应系数inductance正弦电压 sinusoidal voltage集成运算放大器及应用差动放大器 differential amplifier运算放大器 operational amplifier(op-amp)失调电压 offset voltage失调电流 offset current共模信号 common-mode signal差模信号 different-mode signal共模抑制比 common-mode rejection ratio (CMRR)积分电路 integrator(circuit)微分电路 differentiator(circuit)有源滤波器 active filter低通滤波器 low-pass filter高通滤波器 high-pass filter带通滤波器 band-pass filter带阻滤波器 band-stop filter波特沃斯滤波器 Butterworth filter切比雪夫滤波器 Chebyshev filter贝塞尔滤波器 Bessel filter截止频率 cut-off frequency上限截止频率 upper cut-off frequency下限截止频率 lower cut-off frequency中心频率 center frequency带宽 Bandwidth开环增益 open-loop gain闭环增益 closed-loop gain共模增益 common-mode gain输入阻抗 input impedance电压跟随器 voltage-follower电压源 voltage source电流源 current source单位增益带宽unity-gain bandwidth频率响应 frequency response频响特性(曲线)response characteristic波特图 the Bode plot稳定性stability补偿 compensation比较器 comparator迟滞比较器 hysteresis comparator阶跃输入电压step input voltage仪表放大器 instrumentation amplifier隔离放大器 isolation amplifier对数放大器 log amplifier反对数放大器antilog amplifier反馈通道 feedback path反向漏电流 reverse leakage current相位phase相移 phase shift锁相环 phase-locked loop(PLL)锁相环相位监测器 PLL phase detector和频 sum frequency差频 difference frequency波形发生电路振荡器 oscillatorRC振荡器 RC oscillatorLC振荡器 LC oscillator正弦波振荡器 sinusoidal oscillator三角波发生器 triangular wave generator方波发生器square wave generator幅度 magnitude电平level饱和输出电平(电压) saturated output level功率放大器 power amplifier交越失真 cross-over distortion甲类功率放大器 class A power amplifier乙类推挽功率放大器class B push-pull power amplifierOTL功率放大器output transformerless power amplifierOCL功率放大器output capacitorless power amplifier直流稳压电源半波整流 full-wave rectifier全波整流 half-wave rectifier电感滤波器 inductor filter电容滤波器 capacitor filter串联型稳压电源 series (voltage) regulator 开关型稳压电源 switching (voltage) regulator 集成稳压器 IC (voltage) regulator晶闸管及可控整流电路晶闸管 thyristor单结晶体管 unijunction transistor(UJT)可控整流 controlled rectifier可控硅 silicon-controlled rectifier峰点 peak point谷点 valley point控制角 controlling angle导通角 turn-on angle门电路与逻辑代数二进制 binary二进制数 binary number十进制 decimal十六进制 hexadecimal二-十进制 binary coded decimal (BCD)门电路 gate三态门tri-state gate与门 AND gate或门 OR gate非门 NOT gate与非门 NAND gate或非门 NOR gate异或门 exclusive-OR gate反相器 inverter布尔代数 Boolean algebra真值表 truth table卡诺图 the Karnaugh map逻辑函数 logic function逻辑表达式 logic expression组合逻辑电路 combination logic circuit译码器 decoder编码器 coder比较器 comparator半加器 half-adder全加器 full-adder七段显示器 seven-segment display时序逻辑电路 sequential logic circuitR-S 触发器 R-S flip-flopD触发器 D flip-flopJ-K触发器 J-K flip-flop主从型触发器 master-slave flip-flop置位 set复位 reset直接置位端direct-set terminal直接复位端direct-reset terminal寄存器 register移位寄存器 shift register双向移位寄存器bidirectional shift register计数器 counter同步计数器 synchronous counter异步计数器asynchronous counter加法计数器 adding counter减法计数器 subtracting counter定时器 timer清除(清0)clear载入 load时钟脉冲 clock pulse触发脉冲 trigger pulse上升沿 positive edge下降沿 negative edge时序图 timing diagram波形图 waveform单稳态触发器 monostable flip-flop双稳态触发器 bistable flip-flop无稳态振荡器 astable oscillator晶体 crystal 555定时器 555 timer模拟信号 analog signal数字信号 digital signalAD转换器analog -digital converter (ADC)DA转换器 digital-analog converter (DAC)半导体存储器只读存储器 read-only memory(ROM)随机存取存储器 random-access memory(RAM)可编程ROM programmable ROM(PROM)常见英文缩写解释(按字母顺序排列):ASIC: Application Specific Integrated Circuit. 专用ICCPLD: Complex Programmable Logic Device. 复杂可编程逻辑器件EDA: Electronic Design Automation. 电子设计自动化FPGA: Field Programmable Gate Array. 现场可编程门阵列GAL: Generic Array Logic. 通用阵列逻辑HDL: Hardware Description Language. 硬件描述语言IP: Intelligent Property. 智能模块PAL: Programmable Array Logic. 可编程阵列逻辑RTL: Register Transfer Level. 寄存器传输级描述)SOC: System On a Chip. 片上系统SLIC: System Level IC. 系统级ICVHDL: Very high speed integrated circuit Hardware Description Language. 超高速集成电路硬件描述语言。

电子科学与技术专业外语翻译

电子科学与技术专业外语翻译

Figure 1-1】图1-1 给出了在三种材料中一些重要材料相关的电阻值(相应电导率ρ≡1/δ)。

However】然而锗不太适合在很多方面应用因为温度适当提高后锗器件会产生高的漏电流。

For a given】对于给定的半导体,存在代表整个晶格的晶胞,通过在晶体中重复晶胞组成晶格。

This structure】这种结构也属于金刚石结构并且视为两个互相贯穿的fcc亚点阵结构,这个结构具有一个可以从其它沿立方对角线距离的四分之一处移动的子晶格(位移/4)Most of】多数Ⅲ-Ⅴ半导体化合物具有闪锌矿结构,它与金刚石有相同结构除了一个有Ⅲ族Ga原子的fcc子晶格结构和有Ⅴ族As原子的另一个。

.For example】例如,孤立氢原子的能级可由玻尔模型得出:式中m0 代表自由电子质量, q是电荷量,ε0是真空中电导率, h 是普朗克常数,n 是正整数称为主量子数。

Further decrease】空间更多减少将导致能带从不连续能级失去其特性并合并起来,产生一个简单的带。

As shown】如图1-4(a)能带图所示,有一个大带隙。

注意到所有的价带都被电子充满而导带中能级是空的As a consequence】结果,半满带的最上层电子以及价带顶部电子在获得动能(外加电场)时可以运动到与其相应的较高能级上At room】在室温和标准大气压下,带隙值硅(1.12ev )砷化镓(1.42ev)在0 K带隙研究值硅(1.17ev )砷化镓(1.52ev)Thus】于是,导带的电子密度等于把N(E)F(E)dE从导带底Ec (为简化起见设为0)积分到导带顶EtopFigure 1-5】图1-5从左到右示意地表示了本征半导体的能带图, 态密度(N(E)~E1/2), 费米分布函数, 本征半导体的载流子浓度In an extrinsi c】在非本征半导体中,一种载流子类型增加将会通过复合减少其它类型的数目;因此,两种类型载流子的数量在一定温度下保持常数For shallow】对硅和砷化镓中的浅施主,在室温下,常常有足够的热能电离所有的施主杂质,给导带提供等量的电子We shal l】我们先讨论剩余载流子注入的概念。

材料分析2-5 扫描电子显微镜

材料分析2-5 扫描电子显微镜
电子枪效率不断提高,使样品室增大,可安装更多的探测 器,因此,与其它仪器结合,可同位进行多种分析,包括 形貌、微区成分、晶体结构。
5.2 电子束与固体样品作用时产生的信号
样品在电子束的轰击下,会产生如图所示的各种信号。
背散射电子 二次电子 吸收电子 透射电子 特征X射线 俄歇电子
1. 背散射电子
由于L层内尚有能量差别很小的亚能级,不同亚能级上电
子的跃迁所辐射的能量小有差别而形成波长较短的Kα1谱 线和波长稍长的Kα2谱线。由L层内不同亚能级电子向K层 跃迁所发射的kα1谱线和kα2谱线的关系是:λkα1<λkα2, Ikα1≈2Ikα2。在一般情况下,它们是分不开的,这时,Kα 线的波长取双线的波长的加权平均值:
L层→K层的跃迁发射Kα谱线 若M层电子向K层空位补充,
则产生辐射波长更短的Kβ谱 线。
所辐射的特征谱频率由下式计算:
h wn2 wn1 En2 En1 (1-8)
式中wn2、wn1分别为电子跃迁前后原子激发态的能量。
将式(1—7)代入式(1—8)得
h
2 2me4
h2
z
2
1 n22
5.3 扫描电子显微镜的构造和工作原理
SEM是由电子光学系统,信号收集处理、图像显示和纪录系 统,真空系统三部组成。
SEM的主要结构
传统SEM主体结构
电子束会聚系统 样样品品室室
真空系统 电子学系统
显示部分
5.3.1 电子光学系统
包括电子枪、电磁透镜、扫 描线圈和样品室。
1 电子枪
SEM 中的电子枪与TEM 中 的相似,但加速电压比TEM 低
• it was not until 1942 that three Americans, D. Zworykin, Dr Hillier and Dr Snijder first described a true SEM with a resolving power of 50 nm and a magnification of 8000x.

半导体微电子专业词汇资料中英文对照

半导体微电子专业词汇资料中英文对照

半导体微电子专业词汇中英文对照Accelerated testing 加速实验Acceptor 受主Acceptor atom 受主原子Accumulation 积累、堆积Accumulating contact 积累接触Accumulation region 积累区Accumulation layer 积累层Acoustic Surface Wave 声表面波Active region 有源区Active component 有源元Active device 有源器件Activation 激活Activation energy 激活能Active region 有源(放大)区A/D conversion 模拟-数字转换Adhesives 粘接剂Admittance 导纳Aging 老化Airborne 空载Allowed band 允带allowance 容限,公差Alloy-junction device合金结器件Aluminum(Aluminum) 铝Aluminum – oxide 铝氧化物Aluminum Nitride 氮化铝Aluminum passivation 铝钝化Ambipolar 双极的Ambient temperature 环境温度A M light 振幅调制光,调幅光amplitude limiter 限幅器Amorphous 无定形的,非晶体的Amplifier 功放放大器Analogue(Analog) comparator 模拟比较器Angstrom 埃Anneal 退火Anisotropic 各向异性的Anode 阳极Antenna 天线Aperture 孔径Arsenide (As) 砷Array 阵列Atomic 原子的Atom Clock 原子钟Attenuation 衰减Audio 声频Auger 俄歇Automatic 自动的Automotive 汽车的Availability 实用性Avalanche 雪崩Avalanche breakdown 雪崩击穿Avalanche excitation雪崩激发Background carrier 本底载流子Background doping 本底掺杂Backward 反向Backward bias 反向偏置Ball bond 球形键合Band 能带Band gap 能带间隙Bandwidth 带宽Bar 巴条发光条Barrier 势垒Barrier layer 势垒层Barrier width 势垒宽度Base 基极Base contact 基区接触Base stretching 基区扩展效应Base transit time 基区渡越时间Base transport efficiency基区输运系数Base-width modulation基区宽度调制Batch 批次Battery 电池Beam 束光束电子束Bench 工作台Bias 偏置Bilateral switch 双向开关Binary code 二进制代码Binary compound semiconductor 二元化合物半导体Bipolar 双极性的Bipolar Junction Transistor (BJT)双极晶体管Bit 位比特Blocking band 阻带Body - centered 体心立方Body-centred cubic structure 体立心结构Boltzmann 波尔兹曼Bond 键、键合Bonding electron 价电子Bonding pad 键合点Boron 硼Borosilicate glass 硼硅玻璃Bottom-up 由下而上的Boundary condition 边界条件Bound electron 束缚电子Bragg effect 布拉格效应Breadboard 模拟板、实验板Break down 击穿Break over 转折Brillouin 布里渊FBrillouin zone 布里渊区Buffer 缓冲器Built-in 内建的Build-in electric field 内建电场Bulk 体/体内Bulk absorption 体吸收Bulk generation 体产生Bulk recombination 体复合Burn-in 老化Burn out 烧毁Buried channel 埋沟Buried diffusion region 隐埋扩散区Bus 总线Calibration 校准,检定,定标、刻度,分度Capacitance 电容Capture cross section 俘获截面Capture carrier 俘获载流子Carbon dioxide (CO2) 二氧化碳Carrier 载流子、载波Carry bit 进位位Cascade 级联Case 管壳Cathode 阴极Cavity 腔体Center 中心Ceramic 陶瓷(的)Channel 沟道Channel breakdown 沟道击穿Channel current 沟道电流Channel doping 沟道掺杂Channel shortening 沟道缩短Channel width 沟道宽度Characteristic impedance 特征阻抗Charge 电荷、充电Charge-compensation effects 电荷补偿效应Charge conservation 电荷守恒Charge drive/exchange/sharing/transfer/storage 电荷驱动/交换/共享/转移/存储Chemical etching 化学腐蚀法Chemically-Polish 化学抛光Chemically-Mechanically Polish (CMP) 化学机械抛光Chemical vapor deposition (cvd)化学汽相淀积Chip 芯片Chip yield 芯片成品率Circuit 电路Clamped 箝位Clamping diode 箝位二极管Cleavage plane 解理面Clean 清洗Clock rate 时钟频率Clock generator 时钟发生器Clock flip-flop 时钟触发器Close-loop gain 闭环增益Coating 涂覆涂层Coefficient of thermal expansion 热膨胀系数Coherency 相干性Collector 集电极Collision 碰撞Compensated OP-AMP 补偿运放Common-base/collector/emitter connection 共基极/集电极/发射极连接Common-gate/drain/source connection 共栅/漏/源连接Common-mode gain 共模增益Common-mode input 共模输入Common-mode rejection ratio (CMRR) 共模抑制比Communication 通信Compact 致密的Compatibility 兼容性Compensation 补偿Compensated impurities 补偿杂质Compensated semiconductor 补偿半导体Complementary Darlington circuit 互补达林顿电路Complementary Metal-Oxide-SemiconductorField-Effect-Transistor(CMOS) 互补金属氧化物半导体场效应晶体管Computer-aided design (CAD)/test(CAT)/manufacture(CAM) 计算机辅助设计/ 测试/制造Component 元件Compound Semiconductor 化合物半导体Conductance 电导Conduction band (edge) 导带(底)Conduction level/state 导带态Conductor 导体Conductivity 电导率Configuration 结构Conlomb 库仑Constants 物理常数Constant energy surface 等能面Constant-source diffusion恒定源扩散Contact 接触Continuous wave 连续波Continuity equation 连续性方程Contact hole 接触孔Contact potential 接触电势Controlled 受控的Converter 转换器Conveyer 传输器Cooling 冷却Copper interconnection system 铜互连系统Corrosion 腐蚀Coupling 耦合Covalent 共阶的Crossover 交叉Critical 临界的Cross-section 横断面Crucible坩埚Cryogenic cooling system 冷却系统Crystal defect/face/orientation/lattice 晶体缺陷/晶面/晶向/晶格Cubic crystal system 立方晶系Current density 电流密度Curvature 曲率Current drift/drive/sharing 电流漂移/驱动/共享Current Sense 电流取样Curve 曲线Custom integrated circuit 定制集成电路Cut off 截止Cylindrical 柱面的Czochralshicrystal 直立单晶Czochralski technique 切克劳斯基技术(Cz法直拉晶体J)) Dangling bonds 悬挂键Dark current 暗电流Dead time 空载时间Decade 十进制Decibel (dB) 分贝Decode 解码Deep acceptor level 深受主能级Deep donor level 深施主能级Deep energy level 深能级Deep impurity level 深度杂质能级Deep trap 深陷阱Defeat 缺陷Degenerate semiconductor 简并半导体Degeneracy 简并度Degradation 退化Degree Celsius(centigrade) /Kelvin 摄氏/开氏温度Delay 延迟Density 密度Density of states 态密度Depletion 耗尽Depletion approximation 耗尽近似Depletion contact 耗尽接触Depletion depth 耗尽深度Depletion effect 耗尽效应Depletion layer 耗尽层Depletion MOS 耗尽MOS Depletion region 耗尽区Deposited film 淀积薄膜Deposition process 淀积工艺Design rules 设计规则Detector 探测器Developer 显影剂Diamond 金刚石Die 芯片(复数dice)Diode 二极管Dielectric Constant 介电常数Dielectric isolation 介质隔离Difference-mode input 差模输入Differential amplifier 差分放大器Differential capacitance 微分电容Diffusion 扩散Diffusion coefficient 扩散系数Diffusion constant 扩散常数Diffusivity 扩散率Diffusion capacitance/barrier/current/furnace 扩散电容/势垒/电流/炉Digital circuit 数字电路Dimension (1)尺寸(2)量钢(3)维,度Diode 二极管Dipole domain 偶极畴Dipole layer 偶极层Direct-coupling 直接耦合Direct-gap semiconductor 直接带隙半导体Direct transition 直接跃迁Directional antenna 定向天线Discharge 放电Discrete component 分立元件Disorder 无序的Display 显示器Dissipation 耗散Dissolution 溶解Distributed capacitance 分布电容Distributed model 分布模型Displacement 位移Dislocation 位错Domain 畴Donor 施主Donor exhaustion 施主耗尽Dopant 掺杂剂Doped semiconductor 掺杂半导体Doping concentration 掺杂浓度Dose 剂量Double-diffusive MOS(DMOS)双扩散MOS Drift 漂移Drift field 漂移电场Drift mobility 迁移率Dry etching 干法腐蚀Dry/wet oxidation 干/湿法氧化Dose 剂量Dual-polarization 双偏振,双极化Duty cycle 工作周期Dual-in-line package (DIP)双列直插式封装Dynamics 动态Dynamic characteristics 动态属性Dynamic impedance 动态阻抗Early effect 厄利效应Early failure 早期失效Effect 效应Effective mass 有效质量Electric Erase Programmable Read Only Memory(E2PROM) 电可擦除只读存储器Electrode 电极Electromigration 电迁移Electron affinity 电子亲和势Electron-beam 电子束Electroluminescence 电致发光Electron gas 电子气Electron trapping center 电子俘获中心Electron Volt (eV) 电子伏Electro-optical 光电的Electrostatic 静电的Element 元素/元件/配件Elemental semiconductor 元素半导体Ellipse 椭圆Emitter 发射极Emitter-coupled logic 发射极耦合逻辑Emitter-coupled pair 发射极耦合对Emitter follower 射随器Empty band 空带Emitter crowding effect 发射极集边(拥挤)效应Endurance test =life test 寿命测试Energy state 能态Energy momentum diagram 能量-动量(E-K)图Enhancement mode 增强型模式Enhancement MOS 增强性MOSEnteric (低)共溶的Environmental test 环境测试Epitaxial 外延的Epitaxial layer 外延层Epitaxial slice 外延片Epoxy 环氧的Equivalent circuit 等效电路Equilibrium majority /minority carriers 平衡多数/少数载流子Equipment 设备Erasable Programmable ROM (EPROM)可搽取(编程)存储器Erbium laser 掺铒激光器Error function complement 余误差函数Etch 刻蚀Etchant 刻蚀剂Etching mask 抗蚀剂掩模Excess carrier 过剩载流子Excitation energy 激发能Excited state 激发态Exciton 激子Exponential 指数的Extrapolation 外推法Extrinsic 非本征的Extrinsic semiconductor 杂质半导体Fabry-Perot amplifier 法布里-珀罗放大器Face - centered 面心立方Fall time 下降时间Fan-in 扇入Fan-out 扇出Fast recovery 快恢复Fast surface states 快表面态Feedback 反馈Fermi level 费米能级Femi potential 费米势Fiber optic 光纤Field effect transistor 场效应晶体管Field oxide 场氧化层Figure of merit 品质因数Filter 滤波器Filled band 满带Film 薄膜Fine pitch 细节距Flash memory 闪存存储器Flat band 平带Flat pack 扁平封装Flatness 平整度Flexible 柔性的Flicker noise 闪烁(变)噪声Flip-chip 倒装芯片Flip- flop toggle 触发器翻转Floating gate 浮栅Fluoride etch 氟化氢刻蚀Focal plane 焦平面Forbidden band 禁带Formulation 列式,表达Forward bias 正向偏置Forward blocking /conducting 正向阻断/导通Free electron 自由电子Frequency deviation noise 频率漂移噪声Frequency response 频率响应Function 函数Gain 增益Gallium-Arsenide(GaAs) 砷化镓Gallium Nitride 氮化镓Gate 门、栅、控制极Gate oxide 栅氧化层Gate width 栅宽Gauss(ian)高斯Gaussian distribution profile 高斯掺杂分布Generation-recombination 产生-复合Geometries 几何尺寸Germanium(Ge) 锗Gold 金Graded 缓变的Graded (gradual) channel 缓变沟道Graded junction 缓变结Grain 晶粒Gradient 梯度Graphene 石墨烯Grating 光栅Green laser 绿光激光器Ground 接地Grown junction 生长结Guard ring 保护环Guide wave 导波波导Gunn - effect 狄氏效应Gyroscope 陀螺仪Hardened device 辐射加固器件Harmonics 谐波Heat diffusion 热扩散Heat sink 散热器、热沉Heavy/light hole band 重/轻空穴带Hell - effect 霍尔效应Hertz 赫兹Heterojunction 异质结Heterojunction structure 异质结结构Heterojunction Bipolar Transistor(HBT)异质结双极型晶体High field property 高场特性High-performance MOS(H-MOS)高性能MOS器件High power 大功率Hole 空穴Homojunction 同质结Horizontal epitaxial reactor 卧式外延反应器Hot carrier 热载流子Hybrid integration 混合集成Illumination (1)照明(2)照明学Image - force 镜象力Impact ionization 碰撞电离Impedance 阻抗Imperfect structure 不完整结构Implantation dose 注入剂量Implanted ion 注入离子Impurity 杂质Impurity scattering 杂志散射Inch 英寸Incremental resistance 电阻增量(微分电阻)In-contact mask 接触式掩模Index of refraction 折射率Indium 铟Indium tin oxide (ITO) 铟锡氧化物Inductance 电感Induced channel 感应沟道Infrared 红外的Injection 注入Input power 输入功率Insertion loss 插入损耗Insulator 绝缘体Insulated Gate FET(IGFET) 绝缘栅FET Integrated injection logic 集成注入逻辑Integration 集成、积分Integrated Circuit 集成电路Interconnection 互连Interconnection time delay 互连延时Interdigitated structure 交互式结构Interface 界面Interference 干涉International system of unions 国际单位制Internally scattering 谷间散射Interpolation 内插法Intrinsic 本征的Intrinsic semiconductor 本征半导体Inverse operation 反向工作Inversion 反型Inverter 倒相器Ion 离子Ion beam 离子束Ion etching 离子刻蚀Ion implantation 离子注入Ionization 电离Ionization energy 电离能Irradiation 辐照Isolation land 隔离岛Isotropic 各向同性Junction FET(JFET) 结型场效应管Junction isolation 结隔离Junction spacing 结间距Junction side-wall 结侧壁Laser 激光器Laser diode 激光二极管Latch up 闭锁Lateral 横向的Lattice 晶格Layout 版图Lattice binding/cell/constant/defect/distortion 晶格结合力/晶胞/晶格/晶格常熟/晶格缺陷/晶格畸变Lead 铅Leakage current (泄)漏电流Life time 寿命linearity 线性度Linked bond 共价键Liquid Nitrogen 液氮Liquid-phase epitaxial growth technique 液相外延生长技术Lithography 光刻Light Emitting Diode(LED) 发光二极管Linearity 线性化Liquid 液体Lock in 锁定Longitudinal 纵向的Long life 长寿命Lumped model 集总模型Magnetic 磁的Majority carrier 多数载流子Mask 掩膜板,光刻板Mask level 掩模序号Mask set 掩模组Mass - action law 质量守恒定律Master-slave D flip-flop 主从D 触发器Matching 匹配Material 材料Maxwell 麦克斯韦Mean free path 平均自由程Mean time before failure (MTBF) 平均工作时间Mechanical 机械的Membrane (1)薄腊,膜片(2)隔膜Megeto - resistance 磁阻Mesa 台面MESFET-Metal Semiconductor 金属半导体FET Metalorganic Chemical Vapor Deposition MOCVD 金属氧化物化学汽相淀积Metallization 金属化Metal oxide semiconductor (MOS)金属氧化物半导体MeV 兆电子伏Microelectronic technique 微电子技术Microelectronics 微电子学Microelectromechanical System (MEMS) 微电子机械系统Microwave 微波Millimeterwave 毫米波Minority carrier 少数载流子Misfit 失配Mismatching 失配Mobility 迁移率Module 模块Modulate 调制Molecular crystal 分子晶体Monolithic IC 单片MOSFET 金属氧化物半导体场效应晶体管Mount 安装Multiplication 倍增Modulator 调制Multi-chip IC 多芯片ICMulti-chip module(MCM) 多芯片模块Multilayer 多层Multiplication coefficient 倍增因子Multiplexer 复用器Multiplier 倍增器Naked chip 未封装的芯片(裸片)Nanometer 纳米Nanotechnology 纳米技术Negative feedback 负反馈Negative resistance 负阻Negative-temperature-coefficient负温度系数Nesting 套刻Noise figure 噪声系数Nonequilibrium 非平衡Nonvolatile 非挥发(易失)性Normally off/on 常闭/开Nuclear 核Numerical analysis 数值分析Occupied band 满带Offset 偏移、失调On standby 待命状态Ohmic contact 欧姆接触Open circuit 开路Operating point 工作点Operating bias 工作偏置Operational amplifier (OPAMP)运算放大器Optical photon 光子Optical quenching 光猝灭Optical transition 光跃迁Optical-coupled isolator 光耦合隔离器Organic semiconductor 有机半导体Orientation 晶向、定向Oscillator 振荡器Outline 外形Out-of-contact mask 非接触式掩模Output characteristic 输出特性Output power 输出功率Output voltage swing 输出电压摆幅Overcompensation 过补偿Over-current protection 过流保护Over shoot 过冲Over-voltage protection 过压保护Overlap 交迭Overload 过载Oscillator 振荡器Oxide 氧化物Oxidation 氧化Oxide passivation 氧化层钝化Package 封装Pad 压焊点Parameter 参数Parasitic effect 寄生效应Parasitic oscillation 寄生振荡Pass band 通带Passivation 钝化Passive component 无源元件Passive device 无源器件Passive surface 钝化界面Parasitic transistor 寄生晶体管Pattern 图形Payload 有效载荷Peak-point voltage 峰点电压Peak voltage 峰值电压Permanent-storage circuit 永久存储电路Period 周期Permeable - base 可渗透基区Phase-lock loop 锁相环Phase drift 相移Phonon spectra 声子谱Photo conduction 光电导Photo diode 光电二极管Photoelectric cell 光电池Photoelectric effect 光电效应Photonic devices 光子器件Photolithographic process 光刻工艺Photoluminescence 光致发光Photo resist (光敏)抗腐蚀剂Photo mask 光掩模Piezoelectric effect 压电效应Pin 管脚Pinch off 夹断Pinning of Fermi level 费米能级的钉扎(效应)Planar process 平面工艺Planar transistor 平面晶体管Plasma 等离子体Plane 平面的Plasma 等离子体Plate 板电路板P-N junction pn结Poisson equation 泊松方程Point contact 点接触Polarity 极性Polycrystal 多晶Polymer semiconductor 聚合物半导体Poly-silicon 多晶硅Positive 正的Potential (电)势Potential barrier 势垒Potential well 势阱Power electronic devices电力电子器件Power dissipation 功耗Power transistor 功率晶体管Preamplifier 前置放大器Primary flat 主平面Print-circuit board(PCB) 印制电路板Probability 几率Probe 探针Procedure 工艺Process 工艺Projector 投影仪Propagation delay 传输延时Proton 质子Proximity effect 邻近效应Pseudopotential method 赝势法Pump 泵浦Punch through 穿通Pulse triggering/modulating 脉冲触发/调制Pulse Widen Modulator(PWM) 脉冲宽度调制Punchthrough 穿通Push-pull stage 推挽级Q Q值Quality factor 品质因子Quantization 量子化Quantum 量子Quantum efficiency 量子效应Quantum mechanics 量子力学Quasi – Fermi-level 准费米能级Quartz 石英Radar 雷达Radiation conductivity 辐射电导率Radiation damage 辐射损伤Radiation flux density 辐射通量密度Radiation hardening 辐射加固Radiation protection 辐射保护Radiative - recombination 辐照复合Radio 无线电射电射频Radio-frequency RF 射频Raman 拉曼Random 随机Range 测距Radio 比率系数Ray 射线Reactive sputtering source 反应溅射源Real time 实时Receiver 接收机Recombination 复合Recovery diode 恢复二极管Record 记录Recovery time 恢复时间Rectifier 整流器(管)Rectifying contact 整流接触Red light 红光Reference 基准点基准参考点Refractive index 折射率Register 寄存器Regulate 控制调整Relative 相对的Relaxation 驰豫Relaxation lifetime 驰豫时间Relay 中继Reliability 可靠性Remote 远程Repeatability 可重复性Reproduction 重复制造Residual current 剩余电流Resonance 谐振Resin 树脂Resistance 电阻Resistor 电阻器Resistivity 电阻率Regulator 稳压管(器)Resolution 分辨率Response time 响应时间Return signal 回波信号Reverse 反向的Reverse bias 反向偏置Ribbon 光纤带Ridge waveguide 脊形波导Ring laser 环形激光器Rotary wave 旋转波Run 运行Sampling circuit 取样电路Sapphire 蓝宝石(Al2O3)Satellite valley 卫星谷Saturated current range 电流饱和区Scan 扫描Scaled down 按比例缩小Scattering 散射Schematic layout 示意图,简图Schottky 肖特基Schottky barrier 肖特基势垒Schottky contact 肖特基接触Screen 筛选Scribing grid 划片格Secondary flat 次平面Seed crystal 籽晶Segregation 分凝Selectivity 选择性Self aligned 自对准的Self diffusion 自扩散Semiconductor 半导体Semiconductor laser半导体激光器Semiconductor-controlled rectifier 半导体可控硅Sensitivity 灵敏度Sensor 传感器Serial 串行/串联Series inductance 串联电感Settle time 建立时间Sheet resistance 薄层电阻Shaping 成型Shield 屏蔽Shifter 移相器Short circuit 短路Shot noise 散粒噪声Shunt 分流Sidewall capacitance 边墙电容Signal 信号Silica glass 石英玻璃Silicon 硅Silicon carbide 碳化硅Silicon dioxide (SiO2) 二氧化硅Silicon Nitride(Si3N4) 氮化硅Silicon On Insulator 绝缘体上硅Silver whiskers 银须Simple cubic 简立方Simulation 模拟Single crystal 单晶Sink 热沉Sinter 烧结Skin effect 趋肤效应Slot 槽隙Slow wave 慢波Smooth 光滑的Subthreshold 亚阈值的Solar battery/cell 太阳能电池Solid circuit 固体电路Solid Solubility 固溶度Solution 溶液Sonband 子带Source 源极Source follower 源随器Space charge 空间电荷Space Craft 宇宙飞行器Spacing 间距Specific heat(PT) 比热Spectral 光谱Spectrum 光谱(复数)Speed-power product 速度功耗乘积Spherical 球面的Spin 自旋Split 分裂Spontaneous emission 自发发射Spot 斑点Spray 喷涂Spreading resistance 扩展电阻Sputter 溅射Square root 平方根Stability 稳定性Stacking fault 层错Standard 标准的Standing wave 驻波State-of-the-art 最新技术Static characteristic 静态特性Statistical analysis 统计分析Steady state 稳态Step motor 步进式电动机Stimulated emission 受激发射Stimulated recombination 受激复合Stopband 阻带Storage time 存储时间Stress 应力Stripline 带状线Subband 次能带Sublimation 升华Submillimeter 亚毫米波Substrate 衬底Substitutional 替位式的Superconductor 超导(电)体Superlattice 超晶格Supply 电源Surface mound表面安装Surge capacity 浪涌能力Switching time 开关时间Switch 开关Synchronizer 同步器,同步装置Synthetic-aperture 合成孔径System 系统Technical 技术的,工艺的Telecommunication 远距通信,电信Telescope 望远镜Terahertz 太赫兹Terminal 终端Template 模板Temperature 温度Tensor 张量Test 测试试验Thermal activation 热激发Thermal conductivity 热导率Thermal equilibrium 热平衡Thermal Oxidation 热氧化Thermal resistance 热阻Thermal sink 热沉Thermal velocity 热运动Thick- film technique 厚膜技术Thin- film hybrid IC 薄膜混合集成电路Thin-Film Transistor(TFT) 薄膜晶体Three dimension 三维Threshold 阈值Through Silicon Via 硅通孔Thyistor 晶闸管Time resolution 时间分辨率Tolerance 公差T/R module 发射/接收模块Transconductance 跨导Transfer characteristic 转移特性Transfer electron 转移电子Transfer function 传输函数Transient 瞬态的Transistor aging(stress) 晶体管老化Transit time 渡越时间Transition 跃迁Transition-metal silica 过度金属硅化物Transition probability 跃迁几率Transition region 过渡区Transmissivity 透射率Transmitter 发射机Transceiver 收发机Transport 输运Transverse 横向的Trap 陷阱Trapping 俘获Trapped charge 陷阱电荷Travelling wave 行波Trigger 触发Trim 调配调整Triple diffusion 三重扩散Tolerance 容差Tube 管子电子管Tuner 调节器Tunnel(ing) 隧道(穿)Tunnel current 隧道电流Turn - off time 关断时间Ultraviolet 紫外的Ultrabright 超亮的Ultrasonic 超声的Underfilling 下填充Undoped 无掺杂Unijunction 单结的Unipolar 单极的Unit cell 原(元)胞Unity- gain frequency 单位增益频率Unilateral-switch 单向开关Vacancy 空位Vacuum 真空Valence(value) band 价带Value band edge 价带顶Valence bond 价键Vapour phase 汽相Varactor 变容管Variable 可变的Vector 矢量Vertical 垂直的Vibration 振动Visible light 可见光Voltage 电压Volt 伏特Wafer 晶片Watt 瓦Wave guide 波导Wavelength 波长Wave-particle duality 波粒二相性Wear-out 烧毁Wetting 浸润Wideband 宽禁带Wire 引线Wire routing 布线Work function 功函数Worst-case device 最坏情况器件X-ray X射线Yield 成品率Zinc 锌。

Laser nano-manufacturing – State of the art and challenges

Laser nano-manufacturing – State of the art and challenges

Laser nano-manufacturing–State of the art and challengesLin Li(1)a,*,Minghui Hong b,Michael Schmidt(3)c,Minlin Zhong d,Ajay Malshe(2)e, Bert Huis in’tVeld(3)f,Volodymyr Kovalenko(1)ga Laser Processing Research Centre,School of Mechanical,Aerospace and Civil Engineering,The University of Manchester,M139PL,UKb Department of Electrical and Computer Engineering,National University of Singapore,Singaporec Photonic Technologies,FAU Erlangen-Nuremberg,Germanyd Department of Mechanical Engineering,Tsinghua University,Chinae Department of Mechanical Engineering,University of Arkansas,USAf Department of Mechanical Engineering,University of Twente,The Netherlandsg National Technical University of Ukraine,Ukraine1.IntroductionThe need for nano-manufacturing is dictated not only by the requirement of increasingly sophisticated devices and structures with novel properties but also by the trend of decreasing component sizes,material usages and energy consumption of products.To meet the demand for product miniaturization and nano-material and structures enabled novel functionality,a logical step is to achieve the desired nano precision and resolution through the development and wide implementation of nano-fabrication technologies[78,119].Nano-scale manufacture refers to the production of structures,materials and components with at least one of lateral dimensions between1nm and100nm including surface and sub-surface patterns,3D nano structures, nanowires,nanotubes and sers have provided important opportunities in the realisation of nano-manufacturing.This paper reviews the progress in the development of laser based nano-manufacturing technologies and associated sciences in order to understand the state of the art and challenges.Fig.1shows the scope of the paper with three main areas of focus:(1)laser fabrication technologies for surface and subsurface nano struc-tures including nearfield and farfield techniques,(2)laser synthesis of nano materials including nanoparticles,nanowires and nanotubes,(3)laser fabrication of3D nano structures and devices primarily based on additive or bottom-up nano-manu-facturing techniques.Their industrial applications and scientific/ technological challenges are ser fabrication of surface nano-structures2.1.Diffraction limits to laser beamsLaser materials processing has been successfully applied in industry for several decades for cutting,welding,drilling,cleaning, additive manufacturing,surface modification and micro-machin-ing.In most cases,the feature size and resolution of machining are above1m m.One of the reasons for the limited resolution is the diffraction limit of the laser beams in the farfield(where the target surface from the optical element is greater than the optical wavelength)governed by:d¼l2n sin a(1) where d is the minimum beam spot diameter,l is the laser wavelength,n is the refractive index of the medium of beam delivery to the target material and a is the beam divergence angle. The best theoretical resolution is therefore around half of the laser wavelength.For most high power engineering lasers the optical wavelengths are within248nm–10.6m m.Therefore,there are considerable challenges to achieve nano-scale(100nm)resolu-tion in direct laser fabrication of surface structures.To improve the fabrication resolution a number of approaches have been considered including the use of high numerical aperture optics and shorter wavelength light sources.For example,deep ultra-violet(DUV,ArF193nm)laser sources have been used in producing lines of130nm and90nm lithography(32nm and 45nm with optics immersed a high refractive index liquid).To achieve smaller surface patterning feature sizes,F2lasers of 157nm wavelength and extreme ultraviolet(EUV)Xe or Sn plasma systems with a13nm wavelength are used for nanolithography. However,these sources are costly,low output power and unstableCIRP Annals-Manufacturing Technology60(2011)735–755A R T I C L E I N F OKeywords:LaserNano manufacturing Material A B S T R A C TThis paper provides an overview of advances in laser based nano-manufacturing technologies including surface nano-structure manufacturing,production of nano materials(nanoparticles,nanotubes and nanowires)and3D nano-structures manufacture through multiple layer additive techniques and nano-joining/forming.Examples of practical applications of laser manufactured nano-structures,materials and components are given.A discussion on the challenges and outlooks in laser nano-manufacturing is presented.ß2011CIRP.*Corresponding author.Contents lists available at ScienceDirectCIRP Annals-Manufacturing Technology journal homepage:/cirp/default.asp0007-8506/$–see front matterß2011CIRP. doi:10.1016/j.cirp.2011.05.005in light intensity.Strong absorption of the UV light by air molecules requires the nanolithography to be carried out in a vacuum or dry high purity N 2gas protection chamber.How to overcome the optical diffraction limit with stable UV or visible,IR light sources is attracting much research interests in the world.Near field optics utilizing evanescent waves at the close proximity (within the length of the light wavelength)from the focusing optics have been recently applied for laser based nano-fabrications beyond the diffraction limits.In addition,femto second pulsed lasers have been used to achieve far field nano-resolution fabrication based on ablation threshold setting of the Gaussian beam profile of the lasers and non-linear light absorption ser radiation on scanning probe tips for nano-fabrication is not included in this paper as it was reported elsewhere [111].In the following sections,recent developments in near field laser nano-fabrication techni-ques,far field femto second laser nano-fabrication and laser induced self-organising nano-ripple formations are summarised.2.2.Scanning near field photolithography (SNP)using laser coupled near field scanning optical microscopy (NSOM)SNP is based on the coupling of a laser beam (e.g.a frequency doubled argon ion laser at l =244nm)with an optical fibre based Near-field Scanning Optical Microscope (NSOM,first demonstrated in 1992)with a very fine tip (typically 50nm)and very close (10–20nm)tip to target surface distance.A high resolution (beyond diffraction limit)evanescent energy field generates at the tip and decays exponentially with increasing distance.The nanometer distance between the tip and target ensures that the evanescent wave arrives at the target surface with sufficient energy density.The patterned photo-resist is further treated by chemical etching,plasma etching or UV light radiation to create nano-scale patterns on the substrate.The technique was first reported by Lo and Wang in 2001to demonstrate 128nm resolution fabrications [100].Sun and Legget from Sheffield University,UK [172,173]selectively oxidized a strongly bound self-assembled nanolayer (SAM)photo resist on a gold substrate using the SNP technique (the terminology of SNP was first proposed in 2002)followed by chemical etching to realise 20–55nm resolution in surface patterning.This is matching the resolution by electron beam lithography but without the use of a vacuum chamber.The technique was further developed by scientists at Singapore Data Storage Institute and National University of Singapore,using a frequency-doubled Ti:Sapphire femto-second laser at l =400nm,coupled into an NSOM fibre probe to achieve 20mm resolution surface patterning on a UV photo resist (around 40–120nm thickness)spin coated on a Si substrate for data storage applications [21,56,93–95,217].The laser etched depth was 20–100nm.The tip/sample distance was regulated by a tuning-fork-based shear-force feedback.Typical writing speed is 8–12m m/s.In the coupled laser and NSOM nano-fabrication technique,the probe-to-sample distance is a critical parameter to control both the nano-feature size and shape.At a small probe diameter and probe-to-substrate distance,the NSOM overcomes the traditional far-field diffraction limit and can be used to obtain sub-wavelength-size patterns.Fig.2shows an example of nano-line arrays created at different incident laser powers.In addition,higher writing speed leads to shorter exposure time and thus lower exposure dose,resulting in a narrower line width and shallower depth.Considering that there is a melting threshold of the NSOM tip metal coating,a low power (<1mW)laser source is typically used to avoid damaging theNSOM tip.For the photo-resist exposure process,exposure energy dose is another important parameter,which is decided by exposure UV light energy and exposure time.The high resolution of the SNP technique is comparable to electron beam lithography.Furthermore,as the nano-features can be fabricated in air,with a multi-NSOM fibre tip design,parallel nanolithography can be realised for high speed surface nano-structuring.The drawbacks of the technique include the requirement of high precision nano-distance control between the fibre tip and the target,and potential contamination or damage to the fibre tip.If the target surface is rough (>50nm Rz)then it is difficult to apply the technique for uniform pattern writing.A recent development has enabled a nano-second laser NSOM technique (200nm probe diameter)to be applied for direct fabrication of nano-scale features on Si without the use of subsequent photo or chemical etching [165].2.3.Nano ridge aperture (bowtie)beam transmission enhanced nano-fabricationThe amount of light transmission through a small aperture of an object depends on the aperture size,d a ,relative to the wavelength,l ,of the light source.For an aperture smaller than the laser wavelength,light transmission is restricted.For example,for a circular aperture,the transmission efficiency is on the order of (d a /l )4due to the optical diffraction effect [11].Researchers in Perdue University,USA,found that,with a specific aperture geometry such as a bowtie or H,high energy laser beams can be delivered through the aperture with much less attenuation than a circular aperture and the energy is sufficient to produce nano-scale patterns on a surface through contact lithography [29,226].The enhancement was found to be due to near field surface plasmonic effect [29,227].Fig.3a shows a typical bowtie aperture used for nano-fabrication.The aperture was made of atomic force microscope cantilever probe (Si 3N 4coated with an Al film)with the gold coating removed from the back side and the bowtie geometry milled using a focused ion beam.The aperture had 180nm Â180nm outline dimension and a 30nm gap.When a laser beam of 800nm wavelength and 50fs pulse width at 1.5–7.9mW power passed through the aperture,lines with widths down to 62nm and 2nm depth were produced on a photoresist material at a scanning speed of 2.5m m/s as shown in Fig.3b.The distance between the bowtie aperture tip and the target surface was 30nm.The laser beam intensity at the tip of the bowtie aperture was found 39.8times that of the incoming beam due to plasmonic enhancement.As this phenom-enon only occurs at the near field,some researchers also classify this technique as the NSOM based nano-fabrication.2.4.Optically trapped micro-sphere assisted nano-writing (OTAN)Scientists at Princeton University recently developed a laser nano-patterning technique based on laser tweezers [118].AFig.1.Illustration of the scope of thepaper.Fig.2.Nano-lines created by the coupled fs laser/NSOM SNP technique at different incident laser powers [55].L.Li et al./CIRP Annals -Manufacturing Technology 60(2011)735–755736transparent sphere (polystyrene)was held by a focused continuous wave laser beam (converted to a Bessel beam using an axicon lens)as in a typical laser tweezers setup in a liquid environment.At the same time,another pulsed laser (355nm wavelength,15nm pulse length,15nJ–8mJ pulse energy)passes through the sphere and produces a focused energy spot at the bottom of the sphere based on the near field evanescence wave effect.By traversing the sphere over a surface,nano-scale patterns have been generated.Due to the balance of the laser beam radiation pressure with the electrostatic repulsion from the target surface [211],which develops due to ionic groups on the surfaces,the distance between the sphere and the target surface can be maintained constant even for a curved surface without any additional feedback control systems.Fig.4shows a typical process set up and an example of a nano-pattern fabricated using the technique.Arbitrary patterns with the line width around 100nm were demonstrated with 15nm feature size variation.The scientists at the Princeton group further developed the technique by splitting the sphere trapping beam into multiple beams using beam splitters to hold and move several micro-spheres (0.76–3m m diameters)simultaneously,while firing a pulsed power beam to them.Such a system enabled them to write a number of parallel nano-patterns on a polyimide film coated on a glass substrate [118].An advantage of the technique compared with other near field direct writing techniques is that for OTAN there is no need for distance control and it can work on rough surfaces [186].A limitation of the technique is that it can only operate in a liquid environment.2.5.Femtosecond (fs)laser direct writingThe process involved in the formation of nano-scale features by fs lasers is different from the conventional lasers.In fs laserinteraction with materials,the laser interaction time (10À15–10À13s)is shorter than the time for electrons to pass the energy to the lattice (around 10À11s).As a result,the material remains cool while absorbing the laser energy.The use of ultra-short pulse durations of the fs laser pulses restricts the heat diffusion,and improves surface roughness,and also minimizes damage to the adjacent areas.Due to the above mentioned advantages,fs lasers are used for writing couplers [120],waveguide amplifiers [162],diffraction gratings and memory bits [24].To achieve nano-scale resolution,the tip of Gaussian beam is used (setting the laser fluence low enough so that only the tip of laser beam is above the ablation or phase change threshold of the material).In this way,far field laser nano-fabrication beyond diffraction limit can be realised.Typical pulse energy of fs laser nano-fabrication is between 0.1and 100m J and power densities above 1TW/cm 2.Tight focusing of the light by a high NA telecentric lens is essential for fs laser nanofabrication.Another advantage of telecentric lens is that every successive scanning beam is parallel to the optical axis.Due to this,the beam is incident normally on the entire surface area and symmetrical features can thus be written.Minimal variation in laser focus energy and accuracy of focal spot/sample scanning ensure fabrication with high precision.The charge-coupled device (CCD)camera assists in optical adjustment and in situ fabrication monitoring [236].Three critical factors that govern the fs laser writing mechanism are chemical nonlinearity,material nonlinearity,and optical nonlinearity.When a high power density from a fs laser is incident on a target surface,photons are absorbed by either one-photon absorption (OPA),two-photon absorption (TPA),or the multi-photon absorption (MPA).Photon absorption caused by fs-laser beam irradiation leads to different processes such as ionization,electron excitation,and phase transitions.The electrons are agitated and their oscillatory energy is converted into thermal energy of the plasma by collisions with ions by the linear damping mechanism referred to as inverse Bremsstrahlung heating .This raises the temperature and the laser energy is absorbed by the plasma by OPA.These phenomena can occur only in a localized region around the focal point due to the high peak intensity.The separation between the high energetic electron cloud and the positively charged ions in the bulk causes a high voltage (known as Dember voltage)close to the surface which results in the repelling of materials in a process known as Coulomb Explosion.For this reason,the fs laser processing is also termed as cold laser processing and it is possible to write features even in transparent materials [109,121,126].In summary,the formation of nano-features is attributed to the interaction between the fs laser beam and laser-induced electron plasma and matter [159].Two photon absorption mechanisms are illustrated in Fig.5[84].In the figure,S 0,S 1,and S 2are ground state,one-photon allowed and two-photon allowed excited states,respectively.The incident light frequencies are v 1and v 2while the fluorescent emission frequency is v 3.It should be noted that in standard optical lithography,the materials respond to light excitation to the first order effect.For TPA and MPA in fs laser writing,the response is limited to two and higher orders and the square light intensity is also narrower than a linear one.This makes the photon energy of TPA less than thatofFig. 3.Nano bowtie aperture (a)and nano surface patterns produced by transmitting a laser beam through it (b)[29].Fig.4.Illustration of laser trapped micro-sphere nano-patterning.(a)Experimental set up and (b)an example of optically trapped micro-sphere nano writing.The scale bars on the larger picture and the zoomed-in pictures are 2m m and 250nm,respectively [118].Fig. 5.Schematic energy diagram of a TPA process [84](reproduced with permission from Elsevier).L.Li et al./CIRP Annals -Manufacturing Technology 60(2011)735–755737OPA.As a consequence,the volume involved in beam-material interaction reduces and this leads to better resolution in writing the features.The volume in which this energy is absorbed is less than the third order of the laser wavelength (l 3)and hence high spatial resolution of the writing process ( 100nm)beyond the optical diffraction limit is possible [176].For nanoscale writing,it is essential that the laser energy penetrates into the bulk material without any significant losses.For this purpose,a light source with near-infrared wavelength (such as l =800nm)is selected for surface,sub-surface and in-bulk writing.Due to the high transient power density,fs lasers can excite a wide range of materials and induce irreversible processes such as photopolymerisation,photoisomerization,and photoreduction.Femtosecond lasers have numerous advantages over longer pulsed lasers for materials processing [179,195–197]due to which they have been used for writing nano-features in a wide variety of materials such as metals,polymers and ceramics.Examples of the material,and dimensions of the nanofeatures ( 100nm)written by fs lasers are presented in Table 1and Fig.6.2.6.Micro-lens array for fabricating periodic nano-structuresPeriodic nanostructures are useful for plasmonic structures,photonic crystals,high density data storage,miniaturized radio frequency (RF)oscillators and optical gratings.Micro-lens array (MLA)lithography is a laser-based technique being developed for rapid fabrication of large-scale periodic nanostructures.MLA consists of a series of miniaturized lenses of identical sizes and focal lengths,typically arranged hexagonally or squarely packed.When used in a typical optical system,an MLA can focus an incident light beam to form a series of parallel light spots in the focal plane.Downscaling of the diameter,D ,and the focal length,f ,of a lens improves its optical performance [52].For a fixed F number F =f /D ,the diffraction-limited resolution is given by d x %l F 2which is independent of the lens scale.However,the wave aberrations which describe the deviation of the actual wave front from a perfect spherical wave front,are less for smaller lenses for the same F number and wavelength.On the other hand,small lenses have a shorter focal length [200].The early studies of micro-lens array based photolithography were for the manufacturing of periodic micro-scale features [58,200].As the micro-lens array production technology improves,the size of micro-lenses get smaller and so are the feature sizes.For example,scientists at Singapore Data Storage Institute and National University of Singapore used an 800nm wavelength,100fs laser to irradiate a 30nm-thick GeSbTe layer sputtered onto a polycarbonate substrate.It created thousands of field emission transistor structures in a few minutes with a gate line width of 200nm.In addition,using an alkaline solution to etch the material after laser radiation,nanostructures down to 55nm on the thin film were produced [96].To achieve further reduction in feature sizes,they manufactured a micro lens array on a quartz substrate with a diameter and pitch of 1m m each,which consists of 2500Â2500(6.25million)lenses covering an area of 5mm Â5mm.UV light-sensitive photoresist irradiated by a 248nm wavelength,23ns pulse width KrF excimer laser through the MLA created nano-dots as small as 78nm in diameter,at a resolution of one-third the operating wavelength [92].Fig.7shows an example of periodic patterns produced by a micro-lens array system.A critical requirement of the micro-lens array lithography fabrication technology is that the lens must be horizontal to the target surface within the entire radiated area to ensure the beams are vertical to the surface so that the feature sizes are identical.The lens to target surface is also needed to be controlled precisely.For a non-flat surface,it is difficult to fabricate uniform nano-structures using this technique.2.7.Far field laser interference lithography (LIL)Laser-interference lithography is a large-area,maskless,and noncontact nanofabrication technique suitable for repeatable structures such as periodic lines and 2D shapes.It is based on the interference of two or more coherent light beams that form a horizontal standing-wave pattern.The minimum spacing,d L ,between the lines is determined by the laser wavelength,l ,and angle,a ,between the laser beams as in:d L ¼l2n sin ða =2Þ(2)This interference pattern is then recorded on the exposed ser-interference lithography can be used to fabricate micro-and nano-surface structures in large areas.By overlapping exposures at different angles,various patterns (e.g.circular,square,and hexagonal geometry)can be produced.Table 1Examples of nano-features written by fs lasers.Base materialNano-featuresReferences Copper thin film Pits of 75nm[195]Amorphous silicaGratings of 15nm width[57]Urethane acrylate resin,SCR 500Wires of 65nm lateral width at central portion [177]Glass Hillocks of 40–70nm height [193,194]TeO 2Voids of 30nm width [158]SiO 2Stripes of 20nm width[159]Bulk aluminium Irregular nanoentities with average size of 100nm [170]Lithium niobateThick layer of 100nm[24,109,110,169]CVD diamond surfaceRipples with periodicity of 50–100nm[136]AAO matrix (Au deposited into anodized aluminium oxide)Nanorods of diameter 20–40nm and length of $50nm [147]Commercial resin,SCR 500Lines with width of 23nm[180]Gallium nitride Craters of depth varying from 26to 40nm[126]Silica glass Wires of width of 15nm and holes of 20nm diameter [70]TiO 2Ripples with depth of 100nm[23]Fig.6.Nanofeatures developed in (a)amorphous silica [57](reproduced with permission from Elsevier),(b)urethane acrylate resin,SCR 500[176](reproduced with permission from the Optical Society of America),(c)commercial resin,SCR 500[180](reproduced with permission from American Institute of Physics),(d)glass [193],(e)TeO 2[158],(f)photoresist thin film [94],(g)CVD diamond surface [136](reproduced with permission from American Institute of Physics).L.Li et al./CIRP Annals -Manufacturing Technology 60(2011)735–755738Examples include nano-cone arrays on Ni–Cr alloy (Fig.8)and Au/Ag bi-metallic plasmonic structures on quartz ing this approach,after only a few minutes of UV light exposure,followed by photoresist development and chemical etching,periodic nano-lines and nano-dot arrays can be created over a centimetre scale area.To further improve the resolution,immersion laser interference lithography was developed at Max-Planck Institute of Micro-structure Physics,Germany [18].This is to increase ‘‘n ’’in Eq.(2)by introducing a Littrow prism and water as the immersion liquid.In this case,n =1.51.Line patters with a period less than 100nm and a width of 45nm were demonstrated with a 244nm wavelength laser (Fig.9).Another way of increasing the resolution is by reducing the laser wavelength,such as the use of an extreme ultraviolet laser source (e.g.an A +8laser at a 46.9nm wavelength).A great advantage of this method is the increase of ablation depth to over 120nm on Si based photo-resist [112].By combining an EUV laser and Lloyd’s mirror interferometer (Fig.10),nanostructures of 60nm feature size were produced on PMMA (Fig.11).The ablation depth is 20–30nm.Also lines with 95nm width were produced on Au substrates using the technique by the same group.A drawback of the EUV technology is that the process will need a vacuum chamber to operate due to the use of EUV system which can easily ionize gases if it is operated in non-vacuum conditions.2.8.Near field interference lithographyNear field interference lithography is based on evanescent (non-propagating)wave or surface plasmon wave interferences.The purpose is to defeat the diffraction limit of the lasers to fabricate smaller nano-structures.Evanescent interferometric lithography (EIL)or evanescent near field optical lithography (ENFOL),or evanescent wave interference lithography (EWIL)was first demonstrated using a mercury arc lamp in 1999by Blackie et al.at University of Canterbury,New Zealand [3,14].Laser based evanescent wave near field lithography using total internal reflection (TIR)was first reported in 2006by Martinez-Anton of University Complutense Madrid,Spain [115].A typical TIR configuration is shown in Fig.12with two intersecting beams at an angle to enable the total reflection to occur to create periodic evanescent waves.Theprismrge area micro/nanostructures fabricated by laser MLA [92].Fig.8.A nano-cone structure fabricated by laser interference lithography (height 40nm and width 30nm)[152].Fig.9.Photoresist patterns created by immersion laser interference lithography.(a)Low magnification and (b)high magnification images of the pattern;the width of the resist lines is 43.4nm.(c)Silver lines after evaporation of 15nm Ag and lift-off [18].Fig.10.A typical optical configuration for Lloyd’s mirror interferometer laser interference lithography,where u =a /2[112].Fig.12.Illustration of a typical TIR optical configuration to generated evanescent waves through interference of tow intersecting beams [115].Fig.11.Two dimensional nano patterns on PMMA produced by EUV laser interference lithography using Lloyd’s mirror interferometer with two exposures at different angles,(a)dots with 60nm FWHM feature size and a period of 150nm,(b)regular shapes dots,(c)elongated dots [112].L.Li et al./CIRP Annals -Manufacturing Technology 60(2011)735–755739was irradiated with split 405nm wavelength laser beams.Periodic surface relief gratings of around 100nm period were produced on photoresists using this technique [115].More complicated 2D nano-structures can be fabricated using multiple (more than 2)beam interference through polarization tuning,based on TIR evanescence wave near field lithography,as demonstrated by Chua and Murukeshan [22].The photoresist in optical contact with the TIR prism (rectangular)has a lower refractive index than the prism.Patterns of 70nm feature size had been produced using this method (Fig.13).A drawback of this method is that the depth is shallow due to the non-propagating nature of the evanescent wave.The energy transmission through the masks is also very low.Surface Plasmon Interference Lithography (SPIL)is another near field lithographic technique developed recently to improve energy transmission and fabrication depth over the evanescent wave lithography.It is based on energy field enhancement by the interaction of light with surface Plasmon (SP,collective electron oscillation)waves induced around the nano-scale metallic struc-tures and a dielectric interface.If the metallic mask is very thin (e.g.50nm),surface Plasmon waves can be generated on both surfaces,even the structures are not through the full thickness of the metallic film.The enhancement,through the coupling between the surface plasma waves and the evanescent waves,can be several orders of magnitude in intensity compared with the incoming beam.The wavelength of the excited surface Plasmon wave is shorter than that of the exciting laser at the same frequency.Therefore higherresolution is expected.The wavelength of the exciting laser,l (i ,j ),needs to match the materials and the structures of the mask.Their relationships can be found from [168]:l ði ;j Þ¼affiffiffiffiffiffiffiffiffiffiffiffiffiffii 2þj 2q ffiffiffiffiffiffiffiffiffiffiffiffiffiffiffiffie d e m e d þe mr (3)where a is the metallic mask periodic nanostructure period,e a and e m are the dielectric constants of the mask metal and the surrounding dielectric medium,respectively and i ,j are mode indices.For example,a UV light can excite surface Plasmon waves on Al with a nanostructure period of 220nm.A green or blue light can excite surface Plasmon waves on a silver mask with a period of 400–500nm.A larger period allows longer exciting wavelengths.The SPIL technique for the fabrication of periodic surface nanostructures was first reported independently by two separate groups (University of California in USA and RIKEN in Japan)in 2004[103,168]using an Al or a silver mask.An example of a typical configuration for the SPIL technique is shown in Fig.14.For an 80nm thick Al mask of 20nm diameter holes and 220nm period (fabricated using a focused ion beam)and 30nm spacer (PMMA)and irradiated with an arc lamp with a peak intensity at 365nm,90nm periodic structures were produced on a photoresist [168].The RIKEN group fabricated periodic 100nm lines using a silver mask radiated with a 436nm light.They termed the method as SPRINT (Surface Plasmon Resonance Interference Nanolithography Technique)and proposed to use imperforated metallic marks which have corrugated surfaces on both sides of the metallic mask.The illuminated side collects the light and induces the SP waves on the other side of the target material through SP coupling.Sreekanth et al.at Nanyang Technological University of Singapore compared standard far field laser interference lithography,near field evanescent wave lithography and the SPLIT techniques in nano fabrication of period surface structures [167].They found that that the SPIL technique can produce deeper features than the evanescent wave lithography technique and both near field lithography techniques have a better resolution than the far field lithography technique.Fig.15shows an example of periodic dot arrays fabricated on a Si wafer using the SPIL technique with a UV Argon ion laser at 364nm wavelength,which has a 82Æ11nm feature size,164Æ11nm period and an average height of 180nm [167].2.9.Contact particle lens array nano-fabrication (CPLA)This technique is based on the use of transparent micro spherical particles spread onto the target surface byself-assemblyFig.13.Two dimensional features fabricated using evanescent wave interference lithography generated by TIR of four p-polarized incident beams.(a)Theoretical inverse positional photoresist development rate at the interface between the prism and photoresist,(b)SEM image of hexagonal arrayed 2D features.Inset:Enlarged region showing the peak (P),valley (V)and saddle (S)regions (top right),(c)AFM image of the nano-structures [22].Fig.14.A typical process configuration for SPIL and an optical mask,(A)schematic drawing of the SPIL set up and (B)an Al mask for the SPIL experiment (fabricated using FIB)with a hole size of 160nm and a period of 500nm [168].L.Li et al./CIRP Annals -Manufacturing Technology 60(2011)735–755740。

Induced-charge electrophoresis near an insulating wall

Induced-charge electrophoresis near an insulating wall

arXiv:712.453v1[cond-mat.mtrl-sci]4Dec27Induced-charge electrophoresis near an insulating wallMustafa Sabri Kilic1and Martin Z.Bazant1,21Department of Mathematics,Massachusetts Institute of Technology,Cambridge,MA02139,USA and2Physico-Chimie Th´e orique,Gulliver-CNRS,ESPCI,10rue Vauquelin,Paris75005,France(Dated:February2,2008)Induced-charge electrophoresis(ICEP)has mostly been analyzed for asymmetric particles in aninfinitefluid,but channel walls in real systems further break symmetry and lead to dielectrophoresis(DEP)in localfield gradients.Zhao and Bau(Langmuir,23,2007,pp4053)recently predicted thata metal(ideally polarizable)cylinder is repelled from an insulating wall in a DCfield.We revisit thisproblem with an ACfield and show that attraction to the wall sets in at high frequency and leads toan equilibrium distance,where DEP balances ICEP,although,in three dimensions,a metal sphereis repelled from the wall at all frequencies.This conclusion,however,does not apply to asymmetricparticles.Consistent with the recent experiments of Gangwal et al.(arXiv:0708.2417),we show thata metal/insulator Janus particle is always attracted to the wall in an ACfield.The Janus particletends to move toward its insulating end,perpendicular to thefield,but ICEP torque rotates this endtoward the wall.Under some conditions,the theory predicts steady translation along the wall withan equilibrium tilt angle,as seen in experiments,although more detailed modeling of the contactregion of overlapping double layers is required.DRAFTI.INTRODUCTIONMost theoretical work on electrophoresis has focused onspherical particles moving in an infinitefluid in responseto a uniform applied electricfield[2,17,27,35].Ofcourse,experiments always involvefinite geometries,andin some cases walls play a crucial role in electrophore-sis.The linear electrophoretic motion of symmetric(spher-ical or cylindrical)particles near insulating or dielectricwalls[8,12,18,19,20,28]and in bounded cavities or chan-nels[6,7,13,14,15,21,22,24,37,48]has been analyzed ex-tensively.Depending on the geometry and the double-layerthickness,walls can either reduce or enhance the transla-tional velocity,and the rotational velocity can be oppositeto the rolling typical of sedimention near a wall.The classi-cal analysis for thin double layers assumes“force-free”mo-tion driven by electro-osmotic slip alone,but recent work hasshown that electrostatic forces can also be important nearwalls[16,45].Heterogeneous particles with non-uniformshape and/or zeta potential exhibit more complicated bulkmotion[1,9,25,26],which can also affect boundary inter-actions[42],especially if the particles are deformable,as inthe case of chain-like biological molecules[31].In this article,we focus on the effect of nonlinear induced-charge electro-osmotic(ICEO)flows at polarizable surfaces,which arefinding many new applications in microfluidics andcolloids[4,39,41].The canonical example of quadrupolarICEOflow around a polarizable particle,first described byMurtsovkin[10,30],involvesfluid drawn in alongfield axisand expelled radially in the equatorial plane in an AC orDCfield.Broken symmetries in this problem can gener-ally lead to hydrodynamic forces and motion induced-chargeelectrophoresis(ICEP),as well as electrical forces and mo-(a) Insulating wallEE(b) Conducting wallFIG.1:Hydrodynamic forces on polarizable particles near(a)insulating and(b)unscreened conducting walls due to ICEOflowstion by dielectrophoresis(DEP).Such phenomena have onlybeen analyzed for isolated asymmetric particles in an infi-nitefluid[4,40,44]or in a dilute solution far from thewalls[34,36].In contrast,experiments demonstrating trans-lational ICEP motion have involved strong interactions withwalls[11,29],which remain to be explained.As shown in Figure1,it is easy to see that the quadrupo-lar ICEOflow around a polarizable particle typically causesattraction to unscreened conducting walls(perpendicular tothefield)and repulsion from insulating walls(parallel to thefield).The former effect of ICEP attraction to conduct-ing walls has not yet been analyzed;it may play a role incolloidal self assembly on electrodes applying AC voltages[32,33,38,43,46].This phenomenon is mainly understoodin terms of electrohydrodynamicflows(what we would term“ICEO”)induced on the electrodes,not the particles(typi-cally latex spheres),but ICEP could be important for morepolarizable particles.The latter effect of ICEP repulsion from insulating wallshas recently been analyzed by Zhao and Bau[47]in the caseof a two-dimensional ideally polarizable cylinder in a DC field.However,this phenomenon has not yet been confirmed experimentally.On the contrary,Gangwal et al [11]have recently observed that metallo-dielectric Janus particles are attracted to a glass wall,while undergoing ICEP motion par-allel to the wall and perpendicular to an applied AC field.It is not clear that the existing theory of ICEP can explain this surprising behavior.The objective of this work is to analyze the motion of three-dimensional polarizable particles near insulating walls in AC fields.As summarized in section II,we employ the standard low-voltage model in the thin double-layer approx-imation,following many authors [3,23,39,40,44],including Zhao and Bau [47].In section III,we first analyze ideally po-larizable cylinders and spheres near a non-polarizable wall,which only experience forces perpendicular to the wall.In section IV we then study spherical metal/insulator Janus particles,which are half ideally polarizable and half non-polarizable.Due to their broken symmetry,the Janus parti-cles also experience ICEP and DEP torques,which strongly affect their dynamics near the wall.II.MATHEMATICAL MODEL A.Low Voltage TheoryIn this paper,we will consider either a cylindrical or a spherical particle of radius a in a semi-infinite electrolyte bounded by a plane.The distance between the center of the particle and the plane is denoted by h.In the absence an ap-plied electric field,we assume that the particle and the wall surfaces are uncharged.In addition,we will assume the elec-trolyte has a low Reynolds number,and impose Stokes equa-tions.We will assume that the thin double layer approxima-tion holds and the bulk electrolyte remains electroneutral,which is the case when the Debye lengthλD =2z 2e 2c 0is much smaller than the characteristic length scale (in our case,a ).The Debye length is typically ranges between 1−100nm,and colloidal particles are usually in the µrange,therefore thin double layer approximation holds for most of the time.Then the general equations consist of the Laplace’sε∇2φ=0and Stokes equationsη∇2u =∇p ∇·u =0(1)where φis the electrostatic potential and εthe permittivity,ηthe viscosity of the electrolyte,u the velocity field andp the pressure.The wall boundary z =0is an insulator,satisfyingn ·∇φ=0whereas the particle surface,being polarizable,acts as a ca-pacitor in the thin double layer limitdqηζ∇s φwhere ζis the potential difference between the surface and the ter,we will take into account the steric effects of ions and show how this formula needs to be modifistly,we assume flow vanishes at the infinities.So far,the equations are complete except for a constitutive relation between ζand q.The linear theory asserts thatq =−ε2E 2I ]σH=−p I +η ∇u +(∇u )Tare the Maxwell and hydrodynamic stress tensors,respec-tively.C.Particle DynamicsIn order to calculate the movement of a colloidal particle,we need to find a translational velocity U ,and a rotational velocity Ωsuch that the net force on the particle is zero,when the slip velocity is modified by taking into account the velocities U and Ω.Inotherwords,we are seeking U and Ωsuch that the problem (1)with boundary conditionu =u slip +U +r ×Ωyields F =0and T =0.Since Stokes problem is linear,there is a linear relation-ship between the translational and rotational motion of the particle and the resulting force and torque exerted on it by the fluid.Let us denote this relationship byFT=MU Ω The viscous hydrodynamic tensor M comes from solving forthe Stokes flow around a particle moving with translational velocity U and and rotational velocity Ω,assuming no slip on all particle and wall surfaces.If we then solve the electrokinetic problem for a fixed par-ticle in the applied field,we obtain the ICEO slip velocity u slip as well as the total (hydrodynamic +electrostatic)force F slip and torque T slip needed to hold the particle in place,thereby preventing ICEP and DEP motion.Using these calculations and invoking linearity,the condi-tion of zero total force and torque on the particle,FT+ F slipT slip=0,determines the motion of the particleU Ω=−M −1F slipT slip (4)The particle trajectory is then described by the solution tothe differential equationd xdt=ΩxD.Nondimensional EquationsWe nondimensionalize the variables byx ′=xE ∞a,ζ′=ζλD qt ′=λD aεE 2∞−1tu ′=uεE 2∞aεE 2∞Note that there are two time scales in the problem,τ′=λD aεE 2∞,the time scale for particle motion.Plugging in the equations,we obtain (after dropping the primes except for t )∇2φ=0∇2u =∇p ∇·u =0with the boundary conditionsdq2E 2IσH=−p I + ∇u +(∇u )TThe force,angular momentum and stress tensors are scaled toF ref=εE2∞a,T ref=εE2∞a2,σref=εE2∞Finally,the particle motion will be governed byd xdt′′=ΩxE.Simplifications1.Steady ProblemsIf a DC voltage is applied,then the system reaches a steady state after a while and the time derivatives drop out.This is the case when Neumann boundary conditions are valid also on the cylinder or sphere.In that caseF E= ∂Ω(EE−12E2n)dA=−12Re[˜ζ˜E∗//](7)where˜ζis the(complex)surface zeta potential,which isequal to−˜φin the linear theory,and˜E∗//is the complexconjugate of the tangential component of˜E=∇˜φ,the com-plex electricfield.In the DC limit asω→0,the imaginary parts of thesolutions go to zero,and we are left with u s=1FIG.2:Streamlines to the Stokesflow problem for a cylinder near a wall.The surface color indicates the pressure.In this case, the increased pressure between the wall and the cylinder leads to repulsion away from the wall.For linear and nonlinear models alike,the computational efficiency is improved byfirst solving the electrostatic prob-lem,and then the hydrodynamic problem.In time dependent cases,thefluid slip can be averaged and the Stokes problem is solved only once using this averaged slip.For reasons of completeness and easy reference,here we list the weak forms of the equations solved.This system of equations are converted to weak form by multiplying by corresponding test functions and integrating over the spatial domain.The electrical problem turns into0=− Ωˆφ∇2φd r= Ω∇ˆφ∇φd r+ ∂Ωˆφ(n·∇φ)ds = Ω∇ˆφ∇φd r+ ∂Ωˆφ∂t qd rwhich is satisfied for all test functionsˆφ.The boundary con-dition forφis imposed in the form0= ˆq(V col−φ−ζ)d rto be satisfied for all test functionsˆq.The weak form for the stokesflow is similarly obtained as0=− Ω[ˆu·(∇·σ)d r+ˆp∇·u]d r=− Ω[∇ˆu:σ−ˆp∇·u]d r+ ∂Ωˆu·(n·σ)dsSince we do not have a simple expression for n·σ,it is best to introduce the new variable(Lagrangian multiplier)f=n·σ.This is also convenient for calculation of hydrodynamic forces at the surface.Then we obtain0=− Ω[∇ˆu:σ−ˆp∇·u]d r+ ∂Ω[ˆu·f+ˆf·(u−u s)]dsIII.ISOTROPIC PARTICLES NEAR A W ALLA.Cylinder in a DC FieldFor isotropic particles near a wall,by symmetry,φcylinder=0,thereforeζ=−φ.Moreover,there is no net horizontal force exerted on the particle,so the only forces of interest is in the vertical direction.Another consequence of symmetry is the absence of net torque on the cylinder.The DCcylinder problem has been solved analytically by Zhao and Bau[47]in the linear case in bipolar coordinates. The mapping between the bipolar and the Cartesian coordi-nates is given byx=c sinβcoshα−cosβwhereα0<α<∞,and−π<β<πdefines the region outside the cylinder.The geometric constantsα0and c are defined asα0=sech−1(a/h)c=h(α0coshα0−sinhα0)cothα0×{1sinh(n+1)α0sinhα0−1cothα0∞n=1 n2sinh nα0sinh(n+1)α0 ˆyBecause of symmetry,there is no force in the horizontal di-rection.We are going to use this solution to gain some confidence in our numerical simulations.In Fig.3,you can see the com-parison of COMSOL results with the analytical expression. The match is especially good when the particle is close to the wall.It gets worse as this distance increases,because the ef-fects from the other walls also kick in.The simulation in Fig.3has run with a box of size20x20,and maximum mesh size1,withfiner mesh on the particle,specifically a maxi-mum size of0.1.Experimentation with Comsol shows that the hydrodynamic error is sensitive to the size of the box, while the error in the electrostatic force is more sensitive toFIG.3:The Comsol numerical solution is compared to the ana-lytical one given by Zhao and Bau.Although the absolute errors tend to remain small,and the curves look identical,the relative error grows fast as the particle is located at larger distances from the planar wall.the mesh size.For a larger box,40x40,and twice asfiner mesh,we have obtained a similar picture with errors cut to about one third of their values in Fig.3(results not shown).B.Cylinder in an ACfieldAs the electricfields are screened quickly by the elec-trolyte,an ACfield is usually e of an AC elec-tricfield also prevents harmful reactions on electrodes,and enables experimentalists to go to higher applied voltage dif-ferences.Such higher voltages may be desirable if they lead to stronger electrokinetic effects of interest.Far from the wall,the ICEO slip velocity around an ideally polarizable cylinder in an ACfield was derived by Squires and Bazant[39],which takes the dimensionless formsin2θuθ =laplace equation∇2˜φ=0combined with the boundary condition˜φ=0(on the cylinder)n·∇˜φ=0(on the wall)˜φ=−E∞x(at infinity)In this case,the solution is given byφ=Re(ˆφe iωt)=ˆφcosωtThis problem can be solved analytically,and the solution is given by˜φ=2cE∞∞n=1e−nα0coshα−cosβ=2c∞n=1 e−nα0cosh2nα0+n(n+1)coshα016sin2θdividing plane aligned with the electricfield.This is not to say that the particle has no room for different rotational con-figurations,it can still rotate around x and y axis.Rotations about the y−axis(if existed)leave the particle unchanged, so we are left with rotations only around the x−axis.This is much easier to deal with than the original problem though, as only one angle is enough the describe the particles orien-tation.Far from the wall,the bulk velocity perpendicular to a DCfield in the stable orientation is given by the formula of Squires and Bazant[40](Eq.3.16),which takes the dimen-sionless form,9U DC=FIG.9:In the DC limit(ω→0),we plot(a)horizontal velocity (b)vertical velocity and(c)tilting speed(degrees/charging time) as a function of the tilt angleθfor the janus particle at distances h=0.5a and h=0.05a from the wall.C.Dynamics as a function of AC frequencyAs shown in Fig.9,in the low frequency limit,the Janus particle experiences a rotational velocity turning its non-polarizable side toward the wall,as explained above.The hy-drodynamic ICEP torque is orders of magnitude larger than the electrostatic DEP torque,until the particle gets quite close to the wall.The magnitude of the horizontal ICEP velocity U y parallel to the surface and perpendicular to the field is close to its bulk value U y=9/128≈0.07even fairly close to the wall at a height h=0.5a at zero tilt,but reduces with the tilt angle.For small tilt angles and close to the wall at h=0.05a,the horizontal velocity increases to U y≈0.10, but it drops below the bulk value at larger tilt angles,e.g. to U y≈0.05atθ=45degrees.Below we will see that this velocity is further reduced at higher forcing frequencies,due to the reduction of ICEOflow(since DEP cannot contribute to motion perpendicular to a uniformfield).Regardless of the orientation,in the DC limit the particle moves ever closer to the wall in Model(i)since U z<0for any tilting of the nose toward the wall.Even if the the ver-tical motion is stopped at a critical height in Model(ii),the rotation continues in the DC limit until the particle points its non-polarizable nose directly at the wall(θ=90)and the motion stops,although this can take a long time,since the rotation slows down substantially for tilt angles larger than 45degrees.As discussed below,a number of effects might lead to such a stabilization of the tilt angle,thus allowing FIG.10:Typical trajectory of a janus particle under the DC limit ω→0interacting with the wall:As a function of time,plotted are(a)The horizontal speed(b)Distance from the wall(c)Tilt angle.Also,we plot the distance from the wall as a function of horizontal position in(d).steady translation along the wall.As shown in Fig.10,a typical simulated trajectory of the Janus particle shows it translating perpendicular to thefield while rotating and attracting to the wall,until eventually coming to rest facing the wall.Even when the particle’s motion stops,however,its polarizable hemisphere(“engine”) continues driving a steady ICEOflow,which can lead to long-range hydrodynamic interactions with other particles. This is an interesting theoretical prediction which should be checked in experiments.Such immobilized Janus particles may have interesting applications in microfluidics.Similar behavior is predicted forfinite AC frequencies in many cases.In particular,if a particle is initially mostly facing its non-polarizable hemisphere toward the wall(θnear 90◦),it will swim toward the wall and come to rest,as in the DC limit of Figure10.There are some new effects in ACfields,however,since ICEOflows are suppressed with increasing frequency.The competing effect of DEP can prevent the Janus particle from fully rotating and coming to rest on the surface,at least in Model(ii)where the collision is prevented artificially,as shown in Figure12.Atω=1(the characteristic RC fre-quency of the particle),the rotation slows down substantially beyond45◦but does not appear to stop.In this regime the horizontal velocity decays to U y≈0.015.Forω=10the particle appears to settles down to an equilibrium tilt angle around45◦,while steadily translating over the wall.The limiting horizontal velocity is roughly U y≈0.009.FIG.11:For AC frequency ωτc =1,we plot (a)horizontal ve-locity (b)vertical velocity and (c)tilting speed (degrees/charging time)as a function of the tilt angle θfor the janus particle at distances h =0.5a and h =0.05a from the wall.FIG.12:Typical trajectory of a janus particle under AC fre-quency ωτc =10interacting with the wall:As a function of time,plotted are (a)The horizontal speed (b)Distance from the wall (c)Tilt angle.Also,we plot the distance from the wall as a function of horizontal position in (d).FIG.13:For AC frequency (ωτc =10),we plot (a)horizontal ve-locity (b)vertical velocity and (c)tilting speed (degrees/charging time)as a function of the tilt angle θfor the janus particle at distances h =0.5a and h =0.05a from the wall.D.Comparison to experimentThe simulations with Model (ii)are in reasonable agree-ment with the experimental observations of Gangwal et al [11]for metallo-dielectric Janus particles in dilute NaCl so-lutions in the low-frequency regime ω<1.The bulk theory of Squires and Bazant (10)accurately fits the experimental velocity as a function of the field strength (Fig.3of Ref.[11])and the particle size (Fig.4),if a ratio δ=10for the compact-layer to diffuse-layer capacitance is used to obtain the dimensionless formula,U expt =(9/128)/(1+10)=0.006.However,the ICEP motion is observed only very close to the walls.Our simulations predict that the particles are quickly at-tracted to the walls over a time of order the channel width (60µm)divided by the typical ICEP velocity (10µm/s),which is roughly one minute,consistent with experimental observations.The particles are also predicted to tilt,and moderate tilt angles can also be inferred from experimental images,although more accurate measurements are needed.If the tilt angle stabilizes around 45◦(see below),then the sim-ulations (Fig.9)predict that the ICEP translational velocity should be only 0.05/0.07=70%of the bulk value close to the wall,which would imply δ=7.This value is somewhat larger than that inferred from prior experiments on ICEO flow in dilute KCl around a larger (100µm radius)platinum cylinder [23],but it is also observed that the ICEP velocity is slower than predicted at larger sizes (Fig.4of Ref.[11]).Apart from the rotational dynamics,therefore,the theory is able to predict the ICEP velocity fairly well.Without stopping the rotation artificially,we are able to predict the experimentally observed steady motion along the wall only at moderate to largeω.The reduction of ICEO flow in this regime reduces hydrodynamic torque(see below) and also enhances the effect of stabilizing electrostatic forces. Although U expt=0.006is measured in the low-frequency plateauω<1,this behavior otherwise seems quite consis-tent,since the slower ICEP velocity can alsofit the exper-imental data using smaller(and perhaps more reasonable) values ofδ.For example,the predicted velocity of U=0.015 atω=1impliesδ=1.5,while the velocity U=0.009at ω=10impliesδ=0.5.The difficulty in predicting the stable tilt angle at low frequency may be due to our use of the low-voltage,dilute-solution theory,which generally overpredicts the magnitude of ICEOflows,especially with increasing salt concentration. For example,the electrophoretic mobility can saturate at large induced voltages,and the charging dynamics can also bealtered significantly when crowding effects are taken into account[5].As a result,our simulation results at moder-ate frequenciesω=1−10,which exhibit reduced ICEO flow due to incomplete double-layer charging,may ressemble the predictions of more accurate large-voltage,concentrated-solution theories at low frequencyω<1,whereflow is re-duced instead by ion crowding in the double layer.This will be the subject of future work.E.Contact mechanicsAnother source of error in the model is our inaccurate treatment of the contact region,where double-layers overlap. We have simply imposed a small cutoffheight in Model(ii)to prevent the wall collision,but there may be more complicated mechanical effects of the contact region.In particular,there may be enhanced hydrodynamic slip,due to the repulsion of overlapping(equilibrium)double layers of the same sign,as in the experiments.By examining the forces and torques close to the wall,we can infer to some degree what mechanical properties of the contact region might lead to the observed ICEP sliding along the wall and smaller tilt angles at lower frequencies(and thus also somewhat larger velocities).As shown in Fig.14,before the particle gets very close to the wall,the(mostly hydro-dynamic)torque acts to completely tilt the non-polarizable face toward the wall leading to collision.As noted above in Fig.8,this can be understood as a result of the downward component of ICEOflow on the polarizable hemisphere rais-ing the pressure by pushing on the wall on that side.The situation changes when the particle gets very close to the wall.As shown in Fig.14,the torque changes sign at a tilt angle which is roughly45◦.This again can be un-derstood from Fig.8,since the ICEOflow between the par-ticle and the wall on the polarizable side,which drives the FIG.14:Torque on afixed Janus sphere versus tilt angle at heights h=0.5a and0.05a when(a)ω→0(b)ωτc=10.FIG.15:Horizontal force on afixed Janus sphere versus tilt angle at heights h=0.5a and0.05a when(a)ω→0(b)ωτc=10. torque,is mostly absent.It would thus seem that even in a DCfield,the particle would not rotate any farther,but this thinking neglects the hydrodynamic coupling between trans-lational force and rotational velocity near the wall,Eq.(4). In Fig.15,we see that the force on the particle parallel to the wall F y remains strong,and this leads to a rolling effect over the wall due to shear stresses.For this reason,the rotational velocity persists in Fig.9even when the torque goes to zero in Fig.14.The model assumes no slip on all non-polarizable surfaces, but this may not be a good approximation near the contact point when double layers overlap.If the equilibrium sur-face charges(or zeta potentials)on the non-polarizable hemi-sphere and the wall have opposite signs,then the overlapping double layers lead to a strong attraction,which would only stiffen the effective contact with the surface,and thus only increase the viscous rolling effect during motion along the surface.If the equilibrium surface charges(or zeta poten-tials)have the same sign,however,as in the experiments ongold-coated latex Janus particles near glass walls[11],then there is a strong repulsion at the contact point.This repul-sion stops the collision with the wall in Model(ii),but it may also“lubricate”the contact and allow for some sliding.This effective slip over the wall near the contact point could re-duce the viscous rolling,and,in the absence of torque,cause the rotation to stop,or at least be reduced for tile angles above45◦.In that case,we might expect a more accurate model of the contact region to predict to the experimentally observed motion,sliding over the surface by ICEP with a small tilt angle(θ<45◦),for a wider range of conditions, including lower AC frequency,perhaps even in the DC limit.V.CONCLUSIONWe have use the existing low-voltage theory of ICEP to predict the motion of polarizable particles near an insulating wall.Our results for symmetric spheres and cylinders con-firm the expected repulsion from the wall due to ICEOflow, sketched in Figure1(a).In the case of the cylinder we show that attraction is also possible at high frequency,where DEP from electrostatic forces dominates slip-driven ICEP motion.Our results for asymmetric Janus particles reveal an un-expected attraction to the wall by a novel mechanism illus-trated in Figure8,which involves tilting of the less po-larizable face toward the wall.Once it reaches the wall,if double-layer repulsion prevents further collision,the particle either rotates completely and ceases to move,while driving steady ICEOflow,or reaches an equilibrium tilt angle around 45◦while steadily translating along the surface,perpendic-ular to the elecricfield.The latter motion only arises at moderate frequencies in our model,above the characteristic charging frequency for the double layers,while in experi-ments it is also observed at low frequencies.More accurate models taking into account reduced ICEOflow at large volt-age in non-dilute solutions and more accurate models of the contact region may improve the agreement with experiments. In any case,we have shown that polarizable particles can display complex interactions with walls due to broken sym-metries in ICEOflows.Attractive and repulsive interactions can be tuned by varying the geometry of the particles(and the walls),as well as the AC frequency and voltage.These phenomena mayfind applications in separations and self-assembly of colloids or in localflow generation in microfluidic devices.[1]J.L.Anderson.Effect of non-uniform zeta potential on par-ticle movement in electricfields.J.Colloid Interface Science, 105:45–54,1984.[2]J.L.Anderson.Colloid transport by interfacial forces.Annu.Rev.Fluid Mech.,21:61–99,1989.[3]M.Z.Bazant.Electrokinetic motion of polarizable particles.In Dongqing Li,editor,Encyclopedia of Microfluidics and Nanofluidics.Springer,2008.[4]M.Z.Bazant and T.M.Squires.Induced-charge electro-kinetic phenomena:Theory and microfluidic applications.Phys.Rev.Lett.,92:066101,2004.[5]Martin Z.Bazant,Mustafa Sabri Kilic,Brian Story,andArmand Ajdari.Nonlinear electrokinetics at large voltages.arXiv:cond-mat/0703035v2.[6]M.-H.Chih and J.-P.Hsu.Electrophoresis of a sphere normalto a plane at arbitrary electrical potential and double layer thickness.J.Colloid Interface Science,248:383–388,2002.[7]S.M.Davison and K.V.Sharp.Boundary effects on theelectrophoretic motion of cylindrical particles:Concentri-cally and eccentrically positioned particles in a capillary.J.Colloid Interface Science,303:288–297,2006.[8]J.Ennis and J.L.Anderson.Boundary effects on elec-trophoretic motion of spherical particles for thick double layers and low zeta potential.J.Colloid Interface Science, 185:497–514,1997.[9]M.C.Fair and J.L.Anderson.Electrophoresis of heteroge-neous colloids–doublets of dissimilar ngmuir, 8:2850–2854,1992.[10]N.I.Gamayunov,V.A.Murtsovkin,and A.S.Dukhin.Pairinteraction of particles in electricfield.1.features of hydro-dynamic interaction of polarized particles.Colloid SR, 48:197–203,1986.[11]S.Gangwal,O.J.Cayre,M.Z.Bazant,and O.D.Velev.Induced-charge electrophoresis of metallo-dielectric particles.arXiv:0708.2417v1,2007.[12]K.D.Horng H.J.Keh and J.Kuo.Boundary effects on elec-trophoresis of colloidal cylinders.J.Fluid Mech.,231:211–228,1991.[13]J.-P.Hsu,Z.-S.Chen,M.-H.Ku,and L.-H.Yeh.Effect ofcharged boundary on electrophoresis:Sphere in a spherical cavity at arbitrary potential and double-layer thickness.J.Colloid Interface Sci.,314:256–263,2007.[14]J.-P.Hsu and M.-H.Ku.Boundary effect on electrophoresis:finite cylinder in a cylndrical pore.J.Colloid Interface Sci., 283:592–600,2005.[15]J.-P.Hsu,L.-H.Yeh,and Z.-S.Chen.Effect of a chargedboundary on electrophoresis:A sphere at an arbitrary posi-tion in a spherical cavity.J.Colloid Interface Sci.,310:281–291,2007.[16]J.-P.Hsu,L.-H.Yeh,and M.-H.Ku.Evaluation of th electricforce in electrophoresis.J.Colloid Interface Sci.,305:324–329,2007.[17]R.J.Hunter.Foundations of Colloid Science.Oxford Uni-versity Press,Oxford,2001.[18]H.J.Keh and J.L.Anderson.Boundary effects on theelectrophoretic motion of colloidal spheres.J.Fluid Mech., 153:417–439,1985.[19]H.J.Keh and S.B.Chen.Electrophoresis of a colloidalsphere parallel to a dielectric plane.J.Fluid Mech.,194:377–390,1988.[20]H.J.Keh and J.S.Jan.Boundary effects on diffusiophoresisand electrophoresis:Motion of of a colloidal sphere normal to a plane wall.J.Colloid Interface Science,183:458–475, 1996.。

半导体制造专业英语术语

半导体制造专业英语术语

半导体术语表第1页共68页半导体术语表第2页共68页amorphous 非晶的,无定型an alog 模拟信号angstrom 埃anion 阴离子an isotropic etch profile 各向异性刻蚀剖面ann eal 退火an tim ony(sb) 锑an tirelective coat in g(ARC) 抗反射涂层APCVD 常压化学气向淀积applicatio n specific IC(ASIC) 专用集成电路aqueous soluti on 水溶液area array 面阵歹Uargon (Ar) n.[化]氩arse ni c(As) 砷arsin e(AsH3) 砷化氢,砷烷ashi ng 灰化,去胶aspect ratio 深宽比,高宽比aspect ratio depe ndent etchi ng(ARDE) 与刻蚀相关的深宽比asphyxia nt 窒息剂assay n umber 检定数atmospheric adj. 大气的atmospheric pressure 大气压atmospheric pressure CVD(APCVD) 常压化学气向淀半导体术语表第3页共68页backi ng film 背膜baffle vt. 困惑,阻碍,为难(挡片)baffle assembly n. 集合,装配,集会,集结,汇编(挡片块)ball grid array(BGA) 球栅阵列ballroom layout barrel reactor舞厅式布局,超净间的布局圆桶型反应室barrier metal 阻挡层金属barrier voltage 势垒电压base 基极,基区batch 批半导体术语表第4页共68页bay and chase layout 生产区和技术夹层区beam blow-up 离子束膨胀beam curre nt 束流beam decelerati on 束流减速beam energy 离子束能量beol (生产线)后端工序best focus 最佳聚焦BGA 球栅阵列Biasi ng 电压拉偏BICMOS 双极CMOS半导体术语表第5页共68页半导体术语表 第6页共68页突破步骤,起始的干法刻蚀步骤亮场检查涮洗buffered oxide etch(BOE) bulk chemical distributi on bulk gases 大批气体 bulkhead equipme nt layout bumped chip 凸点式芯片buried layer 埋层 burn-box 燃烧室(或盒) bur n-i n 老化 CA 化学放大(胶) can tilever n.[建]悬臂 can tilever paddle 悬臂桨 cap oxide 掩蔽氧化层 capacita nce电容capacita nce-voltage test(C-Vtest) 电容-电压测试capacitive coupled plasma 电容偶合等离子体capacitor 电容器breakthrough step brightfield detection brush scrubb ing bubbler 带鼓泡槽氧化层腐蚀缓冲液 批量化学材料配送 穿壁式设备布局半导体术语表第7页共68页caro ' s acid 3 号液carrier 载流子carrier-depleti on region 载流子耗尽层carrier gas 携带气体cassette (承)片架cati on 阳离子caustic 腐蚀性的cavitation 超声波能CD 关键尺寸CD-SEM 线宽扫描电镜Celsius adj.摄氏的center of focus(COF) 焦点焦平面cen ter slow 中心慢速central process ing uni t(CPU) 中央处理器ceramic substrate 陶瓷圭寸装CERDIP 陶瓷双列直插封装Cha nnel 沟道cha nn el le ngth 沟道长度cha nn eli ng 沟道效应charge carrier 载流子chase技术夹层chelati ng agent 螯合齐ijchemical amplificatio n(CA) 化学放大胶chemical etch mecha半导体术语表第8页共68页nism 化学刻蚀机理chemical mecha ni cal pla narizati on (CMP) 化学机械平坦化chemical soluti on 化学溶液chemical vapor depositio n(CVD) 化学气相淀积chip 芯片chip on board(COB) 板上芯片chip scale package(CSP) 芯片尺寸圭寸装circuit geometries 电路几何尺寸class number 净化级别clea nroom 净化间clea nroom protocol 净化间操作规程Clearfield mask 亮场掩膜板Cluster tool 多腔集成设备CMOS 互补金属氧化物半导体CMP 化学机械平坦化Coater/developer track 涂胶/显影轨道Cobalt silicide 钻硅化合物coefficie nt n.[数]系数Coefficie nt of thermal expa nsio n(CTE)热涨系数半导体术语表第9页共68页Cohere nce probe microscope 相干探测显微镜Cohere nt light 相干光coil v. 盘绕,卷Cold wall 冷壁Collector 集电极Collimated light 平行光Collimated sputteri ng 准直溅射Compensate v.偿还,补偿,付报酬Compo und semic on ductor 化合物半导体Con ce ntrati on 浓度Conden sation 浓缩Con ductor 导体constantly adv.不变地,经常地,坚持不懈地Co nfocal microscope 共聚焦显微镜Con formal step coverage 共型台阶覆盖Con tact 接触(孔)Con tact alig nment 接触式对准(光刻)Con tact an gle meter 接触角度仪Con tam in ati on 沾污、污染conti boat 连柱舟半导体术语表第10页共68页con ticaster [冶]连铸机Contin uous spray develop 连续喷雾显影Con tour maps 包络图、等位图、等值图Co ntrast 对比度、反差contribution n. 捐献,贡献,投稿Conventional-line photoresist 常规I 线光刻胶Cook' s theory库克理论Copper CVD 铜CVDCopper in terc onnect 铜互连Cost of own ership(COO) 业主总成本Coval ent bond 共价键Critical dime nsio n 关键尺寸Cryoge nic aerosol clea ning 冷凝浮质清洗Cryoge nic pump(cryopump) 冷凝泵Crystal 晶体Crystal activatio n 晶体激活Crystal defect 晶体缺陷Crystal growth 晶体生长Crystal lattice 晶格Crystal orie ntati on 晶向CTE 热涨系数半导体术语表第11页共68页Curre nt-drive n curre nt amplifier 电流驱动电流放大器CVD 化学气相淀积Cycle time 周期CZ crystal puller CZ 拉单晶设备Czochralski(CZ) method 切克劳斯基法Ddamasce ne 大马士革工艺darkfiled detectio n 暗场检测darkfiled mask 暗场掩膜版DC bias 直流偏压decompose v. 分解,(使)腐烂deep UV(DUV) 深紫外光default n.默认(值),缺省(值),食言,不履行责任,[律]缺席v.疏怠职责,缺席,拖欠,默认defects den sity 缺陷密度defect 缺陷deglaze 漂氧化层degree of pla narity(DP) 平整度dehydrati on bake 去湿烘培,脱水烘培den sity 密度deple nti on mode 耗尽型半导体术语表第12页共68页degree of focus 焦深deposit n.堆积物,沉淀物,存款,押金,保证金,存放物vt.存放,堆积vi.沉淀depositi on 淀积deposited oxide layer 淀积氧化层depth of focus 焦深descum 扫底膜design for test(DFT) 可测试设计desorpt ion 解吸附作用develop in spect 显影检查developme nt 显影developer 显影液deviati on n. 背离device isolati on 器件隔离device tech no logy 器件工艺DI water 去离子水Diameter n.直径diameter grinding 磨边diborane ( B2H6 )乙硼烷dichlorosila ne(H2SiCL2) 二氯甲硅烷die 芯片die array 芯片阵列die attach 粘片die-by-die alig nme nt 逐个芯片对准dielectric 介质dielectric con sta nt 介电常数die matrix 芯片阵列die separati on 分片diffraction 衍射半导体术语表第13页共68页diffractio n-limited optics 限制衍射镜片diffusion 扩散diffusi on con trolled 受控扩散digital/analog 数字/模拟digital circuitdilue ntdirect chip attach( DCA)direct ion ality discrete dishi ng dislocati on dissoluti on rate dissolution rate mon itor(DRM) 溶解率监测DNQ- novolak 重氮柰醌一酚醛树脂Donor 施主dopa nt profile 掺杂刨面) doped region 掺杂区dop ing 掺杂dose mo nitor 剂量检测仪dose,Q 剂量dow nstream reactor 顺流法反应drain 漏drive-in 推进dry etch 干法刻蚀dry mecha ni cal pump 干式机械泵dry oxidati on 干法氧化dummy n.哑巴,傀儡,假人,假货adj. 假的,虚虚拟的,构的n.[计]哑元dynamic adj. 动力的,动力学的,动态的E半导体术语表第14页共68页econ omies of scale 规模经济edge bead removal 边缘去胶edge die 边缘芯片edge exclusi on 无效边缘区域electrically erasable PROM 电可擦除EPROMelectrode 电极electromigrati on 电迁徙electro n beam lithography 电子束光刻electro n cyclotro n reso nance 电子共振回旋加速器electro n shower 电子簇射,电子喷淋electro n stopp ing 电子阻止electro nic wafer map 硅片上电性能分布图electroplat ing 电镀electropolishi ng 电解拋光electrostatic chuck 静电吸盘electrostatic discharge(ESD) 静电放电ellipsometry 椭圆偏振仪,椭偏仪emitter 发射极en dpo int detecti on 终点检测engin eeri ng n.工程(学) electrostatic discharge(EDX) 能量弥散谱仪enhan ceme nt mode 增强型epi 夕卜延epitaxial layer 夕卜延层epoxy un derfill 环氧树脂填充不足erasable PROM 可擦除可编程只读存储器半导体术语表第15页共68页erosion 腐蚀,浸蚀establish vt.建立,设立,安置,使定居,使人民接受,确定v.建立etch 刻蚀etch bias 刻蚀涨缩量etch profile 刻蚀刨面etch rate 刻蚀速率etch residue 刻蚀残渣etch un iformity 刻蚀均匀性etcha nt 刻蚀剂etchback pla narizati on 返刻平坦化eutectic attach 共晶焊接eutectic temperature 共晶温度evaporati on 蒸发even adj.平的,平滑的,偶数的,一致的,平静的, 恰好的,平均的,连贯的adv.[加强语气]甚至(…也), 连…都,即使,恰好,正当vt.使平坦,使相等vi. 变平,相等n.偶数,偶校验exceed vt. 超越,胜过vi.超过其他excimer laser 准分之激光exposal n. 曝光,显露exposure 曝光exposure dose 曝光量半导体术语表第16页共68页extracti on electrode 吸极extreme UV 极紫外线extri nsic silic on 掺杂硅FFables 无制造厂公司fabrication 制造facilities 设施factor n.因素,要素,因数,代理人fast ramp furn aces 快速升降温炉fault model 失效模式FCC diam ond 面心立方金刚石feature size 特征尺寸FEOL 前工序Fick ' s laws FICK 定律field-effect tran sistor 场效应晶体管field oxide 场氧化field-by-field alig nme nt 逐场对准field-programmable PROM 现场可编程只读存储器film 膜film stress 膜应力final assembly and packag ing 最终装配和圭寸装final test 终测first in terlayer dielectric(ILD-1) 第一层层间介质fixed oxide charge 固定氧化物电荷半导体术语表第17页共68页flats 定位边flip chip 倒装芯片float zone 区熔法fluorosilicate glass(FSG) 氟化玻璃focal le ngth 焦距focal pla ne 焦平面focal point 焦点focus 聚焦focus ion beam(FIB) 聚焦离子束footpri nt 占地面积formula n.公式,规则,客套语forward bias 正偏压four-po int probe 四探针frenkel defect Frenkel 缺陷fron t-ope ning uni fied pod(FOUP)前开口盒fun ctio nal test 功能测试furn ace flat zone 恒温区Gg-line G 线gallium(Ga)镓gallium arse nide(GaAs) 砷化镓gap fill 间隙填充gas 气体gas cabinet 气柜gas man ifold 气瓶集装gas phase n ucleati on 气相成核gas purge 气体冲洗gas throughput 气体产量半导体术语表第18页共68页gate 栅gate oxide 栅氧化硅gate oxide in tegrity 栅氧完整性germa ni um(Ge) 错getter 俘获glass 玻璃glazi ng 光滑表面global alig nment 全局对准global pla narizatio n 全局平坦化glow discharge 起辉放电gray area 灰区,技术夹层gross defect 层错grove n. 小树林grow n oxide layer 热氧化生长氧化层HHaloge n 卤素hardbake 坚膜hardware n.五金器具,(电脑的)硬件,(电子仪器的)部件HEPA filter 高效过滤器hermetic seali ng 密圭寸heteroepitaxy 异质外延heteroge neous reacti on 异质反应hexamethyldisilaza ne(HMDS)六甲基二硅氨烷high-de nsity plasma(HDPCVD) 高密度等离子体化学气相淀积high-de nsity plasma etch 高密度等离子刻蚀high-pressure oxidati on 高压氧化high-temperature diffusi on furn ace高温扩散炉high vacuum 高真空high vacuum pumps 高真空泵半导体术语表第19页共68页半导体术语表 第20页共68页IC reliability 集成电路可靠性 Iddq test ing 静态漏电流测试 image resolution 图象清晰度 图象分解力 impla nt v.灌输(注入) impurity 杂质 in creme nt n. 增加,增量 initial adj.最初的,词首的,初始的 n.词首大写 字母in situ measurem ents 在线测量 in dex of refraction 折射率 indium 铟in ductively coupled plasma(ICP) 电感耦合等离子体 in ert gas 惰性气体in frared in terfere nce 红外干涉 in got 锭ink mark 墨水标识在线参数测试 输入/输出管脚 学院,协会 vt.创立,开始,制 定,开始(调查),提起(诉讼) in sulator 绝缘体in-li ne parametric test in put/output(I/O)pin institute n. 学会,半导体术语表第21页共68页in tegrated measurem ent tool 集成电路测量仪interval n.间隔,距离,幕间休息n.时间间隔interconnect 互连in terco nn ect delay 互连连线延迟in terface-trapped charge 界面陷阱电荷in terferometer 干涉仪in terlayer dielectric(ILD) 层间介质in terstitial 间隙(原子)in tri nsic silic on 本征硅in voke v. 调用ion 离子ion analyzer 离子分析仪ion beam milli ng or ion beam etch in g(IBE) 离子铣或离子束刻蚀ion impla ntati on 离子注入ion impla ntati on damage 离子注入损伤ion impla ntati on dop ing 离子注入掺杂ion impla nter 离子注入机ion projectio n lithography(IPL) 离子投影机ioni zati on 离子化ion ized metal plasma PVD 离子化金属等离子IPA PVD半导体术语表第22页共68页vapor dry 异丙醇气相干燥isolati on regions 隔离区isotropic etch profile 各向同性刻蚀刨面JJEFT结型场效应管jun ctio n(p n) PN 结jun cti on depth 结深jun cti on spik ing 结尖刺KKelvi n 绝对温度killer defect 致命缺陷ki netically con trolled react ion 功能控制效应Llam inar air flow 层状空气流,层流式lapping 拋光latchup闩锁效应lateral diffusi on 横向扩散law of reflecti on 反射定律LDD轻掺杂漏Leadframe 引线框架leakage cuttent 漏电流len透镜lens compact ion 透镜收缩light 光light in te nsity 光强light scatteri ng 光散射lightly doped drai n(LDD) 轻掺杂漏半导体术语表第23页共68页lin ear 线性linear accelerator 线性加速器linear stage 线宽阶段,线性区lin ewidth 线宽liquid 液体lithography 光刻loaded brush 沾污的毛刷loaded effect负载效应loadlock 真空锁local in terco nn ect(LI) 局部互连local pla narizati on 局部平坦化local oxidation of silico n(LOCOS) 硅局部氧化隔离法logic逻辑lot批low-pressure chemical vapor deposition (LPCVD)彳氐压化学气相淀积LSI大规模集成电路Mmagnetic CZ ( MCZ )磁性切克劳斯基晶体生长法magnetically enhanced RIE(MERIE)磁增强反应离子刻蚀magnetron sputteri ng 磁控溅射Magnification n. 扩大,放大倍率magnificent adj. 华丽的,高尚的,宏伟的majority carrier 多子make-up loop 补偿循环mask掩膜版n.面具,掩饰,石膏面像vt.戴面具,半导体术语表第24页共68页掩饰,使模糊vi.化装,戴面具,掩饰,参加化装舞会mask-programmable gate array 掩膜可编程门阵歹Umass flow con troller(MFC) 质量流量计mass spectrometer 质谱仪mass-tra nsport limited reacti on质量传输限制效应mathematical adj.数学的,精确的mea n free path(MFP) 平均自由程medium vacuum 中真空半导体术语表第25页共68页megasonic cleaning 超声清洗melt熔融membra ne con tactor 薄膜接触器,隔膜接触器membra ne filter 薄膜过滤器,隔膜过滤器merchant n. 商人,批发商,贸易商,店主商业的,商人的mercury arc lamp 汞灯MESFET用在砷化镓结型场效应晶体管中的金属栅metaladj. contact 金属接触孔metal impurities 金属杂质metalstack 复合金属,金属堆叠metallizati on 金属化metalorga nic CVD 金属有机化学气相淀积metrology 度量衡学microchip 微芯片microdefect 微缺陷microlithography 微光刻microloadi ng 微负载,与刻蚀相关的深宽比micron 微米microprocessor n.[计]微处理器microprocessor unit 微处理器microrough ness 微粗糙度Miller in dices 密勒指数minienvironment 微环境mi ni mum geometry 最小尺寸minority carrier 少子mix and match 混合与匹配mobile ion ic co ntami nan ts(MIC)可动离子沾污mobile oxide charge 可动氧化层电荷module n.模数,模块,登月舱,指令舱modify vt. 更改,修改v.修改molecular beam epitaxy (MBE)分子束外延molecular flow 分子流monitor wafer(test wafer) 陪片,测试片,半导体术语表第26页共68页样片mono crystal 单晶mono lithic device 单片器件Moore's law 摩尔定律MOS 金属氧化物半导体MOSFET 金属氧化物半导体场效应管motor currea nt en dpoi nt 电机电流终点检测(法)MSI中规模集成电路Multiplier n.增加者,繁殖者,乘数,增效器,乘法器multichip module(MCM) 多芯片模式multile nel metallization 多重金属化Murphy's model 墨菲模型Nnan ometer( nm) 纳米native oxide 自然氧化层n-channel MOSFET n 沟道MOSFET negati ne resist 负性光刻胶negative n.否定,负数,底片adj.否定的,消极的,负的,阴性的vt.否定,拒绝(接受)ne gati ne resist developm ent 负性光刻胶显影neutral beam trap 中性束陷阱ne xt-g ene rati on lithography 下一代光刻技术n itric acid(HNO3) 硝酸nitroge n(N2) 氮气nitrogen trifluoride(NF3) 三氟化氮半导体术语表第27页共68页nitrous oxide (N2O) 一氧化二氮、笑气nMOS n沟道MOS场效应晶体管non critical layer 非关键层non volatile memory 非挥发性存储器n ormality 归一化notch 定位槽novolak 苯酚甲醛聚树脂材料npn npn型(三极管) n-type silicon n 型硅nu clear stopp ing 离子终止nucleati on 成核现象,晶核形成nu clei coalesce nce 核合并numerical aperture(NA) 数值孔径n-well n 阱Oobjective (显微镜的)物镜off-axis illumi natio n( OAI) 偏轴式曝光,离轴式曝光ohmic con tact 欧姆接触op amp 运算放大器optical in terferometry en dpoi nt 光学干涉法终点检测optical lithography 光学光刻optical microscope(light microscope) 光学显微镜optical proximity correctio n(O PC) 光学临近修正半导体术语表第28页共68页optical pyrometer 光学高温计optics 光学organic compo und 有机化合物半导体术语表第29页共68页out-diffusion 反扩散outgassi ng 除气作用overdrive 过压力overetch step 过刻蚀overflow rin ser 溢流清洗overlay accuracy 套准精度overlay budget 套准偏差overlay registrati on 套刻对准oxidati on 氧化oxidati on-in duced stack ing faults(OISF) 缺陷,氧化诱生堆垛层错oxide 氧化物、氧化层、氧化膜oxidezer 氧化剂oxide-trapped charge 氧化层陷阱电荷ozo ne(O3) 臭氧Ppackage 封装管壳pad con diti oning 垫修整pad oxide 垫氧化膜paddle 悬臂n.短桨,划桨,明轮翼水,涉水vt.用桨划,搅,拌parabolic stage 拋物线阶段parallel-plate(pla nar)reactor 平板反应parallel testi ng 并行测试parameter 参数parametric test 参数测试parasitic 寄生parasitic capacita nee 寄生电容parasitic resista nce 寄生电阻parasitic tran sistor 寄生电阻器partial pressure 分压particle den sity 颗粒密度氧化诱生层积vi.划桨,戏半导体术语表第30页共68页particle per wafer per pass(PWP)每步每片上的颗粒数passivati on 钝化passivati on layer 令屯化层passive comp onents 无源元件pattern sen sitivity 图形灵敏性patterned etchi ng 图形刻蚀pattern wafer 带图形硅片patterni ng 图形转移,图形成型,刻印pc board 印刷电路版p-channel MOSFET p 沟道MOSFETPCM 工艺控制监测PEB 曝光后烘焙PECVD 等离子体增强化学气相淀积PEL 允许曝露极限值pellicle 贴膜pen tava lent 五价元素perform vt. 履行,执行,表演,演出v. performing完成任务adj. 表演的,履行的perimete array 周边阵列式(圭寸装) pH scale pH 值phase-shift mask(PSM) 相移掩膜技术phosphi ne(PH3)磷化氢phosphoric acid(H3PO4) 磷酸phosphorus(P) 磷半导体术语表第31页共68页phosphorus oxychloride(POCL3) 三氯氧磷phosphosilicate glass(PSG) 磷硅玻璃photoacid gen erator(PAG) 光酸产生剂photoacoustics 光声的photoactive compou nd(PAC) 感光化合物photography n.摄影,摄影术光刻photolithography 光刻(技术)photomask 光掩膜photoresist 光刻胶photoresist stripp ing 去胶、光刻胶去除physical etch mecha nism 物理刻蚀机理physical vapor depositio n(PVD) 物理气相淀积pigtail 引出头pin grid array(PGA) 针栅阵列式(封装) pin hole 针孑L pira nha 3 号液pitch 间距pla nar 平面pla nar capacitor平面电容pla nar process 平面工艺pla narizati on 平坦化plasma 等离子体n.[解]血浆,乳浆,[物]等离子体,等离子区plasma-based dry clea ning 等离子体干法清洗plasma electro n flood 等离子电子流plasma enhancedCVD(PECVD) 等离子体增强CVD半导体术语表第32页共68页plasma-in duced damage 等离子体诱导损伤plasma pot en tial distributi on 等离子体势分布plastic dual in-li ne package(DIP) 双列直插塑料圭寸装plastic leaded chip carrier(PLCC) 塑料电极芯片载体plastic packag ing 塑料圭寸装plug 塞,填充vt. 埼塞,插上,插栓n塞子,插头, 插销pMOS(p-channel) p 沟道MOSpn junction diode pn 结型二极管pnp pnp型三极管point defect 点缺陷Poisso n's model 泊松模型polarizati on 极化,偏振polarized light 极化光,偏振光polish 拋光polish rate 拋光速率polished wafer edge(edge grind) 倒角polishi ng loop 磨拋循环polishi ng pad 拋光(衬)垫polycide 多晶硅化物polycrystal 多晶半导体术语表第33页共68页polysilic on 多晶硅polysilic on gate 多晶硅栅portion n. —部分,一分positive lithography 正性光刻positive resist 正性光刻胶positive resist developme nt 正性光刻胶显影post-develop in specti on 显影后检查post-exposure bake(PEB) 曝光后烘焙ppb 十亿分之几ppm 百万分之几ppt 万亿分之几preamorphizati on 预非晶化precursor 先驱物predepositi on 预淀积premetaldielectric(PMD) 金属前介质preston equation Preston 方程primary orie ntati on flat 主定位边print bias光刻涨缩量prin ted circuit boade(PCB) 印刷电路板probe探针probe card 探针卡prober 探针台process 工艺process chamber 工艺腔,工艺反应室process chemical 工艺化学process control mon itor(PCM) 工艺控制监测(图形) process latitude 工艺水平,工艺能力process recipe 工艺菜单programmable array logic(PLA) 可编程阵列逻辑programmable logic device 可编程逻辑器件半导体术语表第34页共68页programmable read-only memory 可编程只读存储器projected range 投影射程prompt n.提示,付款期限vt.提示,鼓动,促使,(给演员)提白adj.敏捷的,迅速的,即时的adv. 准时地n. DOS 命令:改变DOS系统提示符的风格proportion n.比例,均衡,面积,部分vt.使成比例,使均衡,分摊proportional adj. 比例的,成比例的,相称的,均衡的proportio nal ba nd 比例区,比例带,比例尺范围proximity alig ner 接近式光刻机p-type silicon P 型硅puddle develop搅拌式显影pump speed 抽气速率pun chthrough 穿通purge (冲气)清洗purge cycle (冲气抽气)清洗循环PVD物理气相淀积p-well P 阱pyroge nic steam 热流pyrogen 热原(质)pyrolytic 热解pyrophoric 自燃的Qquad flatpack(QFP)方型管壳封装quadrupole mass an alyzer(QMA)四极质量分析仪quality measure 质量测量quarz石英quarz tube 石英管半导体术语表第35页共68页quarz wafer boat 石英舟queue time排队时间R radiation damage 辐射损伤radical 激发ran dom access memory(RAM) 随机存储器range射程rapid thremal ann eal(RTA) 快速热退火rapid thermal processor(RTP) 快速热处理RCA clea n RCA 清洗reactio n rate limited 反应速率限制reactive ion etch(RIE) 反应离子刻蚀reactivity 反应性reactor 反应室,反应腔read-o nlymemory(ROM) 只读存储器recomb in ati on 复合redistribut ion 再分布reflection spectroscopy 反射光谱仪reflective no tchi ng 反射开槽reflow 回流refraction 折射refractory metal 难融金属regeneration 再生regene rati on 套准精度relative in dex of refraction,n removal n. 移动,免职,切除repeat n.重复,反复vt.重做,复述,向他人转述,复制,使再现vi.重复,留有味道representation n. 表示法,表现,陈述,请求,扮演,画像,继承,代表reset v.重新安排residual gas analyzer(RGA) 残余气体分析器resist光刻胶半导体术语表第36页共68页resist developme nt 光刻胶显影resista nee 电阻resistivity 电阻率resolution 分辨率reticle掩膜版retrograde well 倒掺杂阱reverse bias 反偏reverse osmosis(RO) 反向渗透RF射频RF sputteri ng 射频溅射rinse v.嗽口,(用清水)刷,冲洗掉,漂净n.清洗嗽洗,漂洗,漂清,冲洗RO反向渗透Roots blower罗茨(机械增压)泵roughi ng pump 低真空泵,机械泵RTA快速热退火RTP快速热处理Ssatisfy vt.满足,使满意,说服,使相信v.满意,确保Scali ng按比例缩小SCALPEL具有角度限制分散投影电子束光刻Scanner扫描仪sea nning electro n microscope(SEM) 扫描电子显微镜sca nning projecti on alig ner 扫描投影光刻机schottky diode 肖特基二极管screen oxide layer 掩蔽氧化层半导体术语表第37页共68页scribe line 划片道scribe line mon itor(SLM) 划片线监测scumming 底膜sec ondary electro n 二次电子半导体术语表第38页共68页sec on dary electro n flood 二次电子流sec on dary ion mass spectrometry(SIMS)二次离子质谱(法)seed ' s model SEED模型selective etching 选择性刻蚀selective oxidati on 选择性氧化selectivity 选择性semic on ductor grade silic on 半导体极硅semic on ductor 半导体sensitivity 灵敏度shallow trench isolatio n(STI) 浅沟槽隔离sheet resistance,RS 方块电阻sheet resistivity, 方块电阻率shot size胶(点)尺寸shri nking 缩小SI units 公制Sidewall spacer 侧墙Silane(siH4)硅烷Silicide硅化合物silicon 硅silicon dioxide(SIO2)二氧化硅silicon n itride(SI3N4)氮化硅silic on on sapphire 蓝宝石伤硅silicon on in sulator(SOI) 绝缘体上硅silicon tetrachloride(SIC4) 碳化硅silicon tetrafluoride(SIF4)四氟化硅silicon tetrachloride(SICL4)四氯化硅sin gle crystal silic on 单晶硅silylation 硅烷化(作用)SIMOX 由注入氧隔离,一种SOI材料si ngle crystal 单晶slip滑移slurry 磨料半导体术语表第39页共68页SMIF标准机械接口Sodium hydroxide(NaOH)氢氧化钠soft bake 前烘solid固体solve nt 溶剂SOS蓝宝石上硅Source 源source drain impla nts 源漏注入spacer n.取间隔的装置,逆电流器spatial cohere nee 空间相干spatial sig nature an alysis 空间信号分析specialty gase 特种气体species 种类specific gravity 上匕重specific heat 比热speckle 斑点spectroscipic ellipsometry 椭圆偏振仪sp in coati ng 光刻胶旋涂spin dryer 旋转式甩干桶spin-on-dielectric ( SOD)旋转介质法spin-on-glass ( SOG)旋转玻璃法spray clea ning 喷雾清洗spray rin ser 喷雾清洗槽spreadi ng resista nce probe 扩散电阻探测sputter n.喷溅声,劈啪声,急语,咕哝vi.唾沫飞溅,发劈啪声,急忙地讲vt.喷出,飞溅出,气急败坏地说sputteri ng 溅射sputter etch 溅射刻蚀sputtered alum inum 溅射铝半导体术语表第40页共68页sputteri ng yield 溅射产额SSI小规模集成电路stacki ng fault层积缺陷,堆垛层错sta ndard clea n 1(SC-1) 1 号清洗液sta ndard clea n 2(SC-2) 2 号清洗液sta ndard mecha nical in terface(SMIF) 机械标准接口standing wave 驻波static RAM 静态存储器statistical process control ( SPC)统计过程控制step coverage 台阶覆盖step height台阶高度step-a nd-repeat alig ner 分步重复光刻机step-and-scan system 步进扫描光刻机stepper步进光刻机steppi ng motor driver 步进电机驱动器电路stepper步进光刻机stoichiometry 化学计量(配比) staggle投射标准偏差stress应力striati on 条纹strip vt.剥,剥去n. 条,带strippi ng 去胶structure 结构subatmospheric CVD 亚大气压化学气相淀积半导体术语表第41页共68页submicro n 亚微米sub-quarter micron 亚0.25 微米substrate 衬底sublimati on 升华substituti onal atom 替位原子subtract v.(〜from)减去, 减subwaverle ngth lithography 亚波长光刻sulfur hexafluoride(SF6)六氟化硫sulfuric acid (H2SO4 )硫酸surface profiler 表面形貌surface tension 表面张力susceptor 基座Ttarget chamber 靶室target 靶temperature ramp rate 温度斜率temperature 温度TEOS正硅酸乙脂test algorithm 测试算法test coverage测试覆盖test structure 测试结构test vector测试向量thermal budget 热预算thermal oxide 热氧化thermocompressi on bonding 热压键合thermocouple 热电偶thermogravimetric an alysis (TGA) 热重量分析thermoso nic bon di ng 热超声键合thin film 薄膜半导体术语表第42页共68页thin small outli ne package(TSOP) 薄小型圭寸装III-V compou nd 三/五族化合物thorough adj.十分的,彻底的Threshold 域值threshold voitage 域值电压threshold voltage adjustment implant 调栅注入,域值调整注入throughput 产量tilt [tilt] v.(使)倾斜,(使)翘起,以言词或文字抨击time of flight SIMS仃OF-SIMS) 飞行时间二次离子质谱tita nium silicide 钛硅化合物TLV极限域值top surface imag ing 上表面图形topography 形貌torr 托toxic 有毒track system(also track) 轨道系统tran sie nt enhan ced diffusio n仃ED) 瞬时增强扩散tran sistor 晶体管trench 槽trench capacitor 槽电容trichlorosila ne(TCS or SiHCL3) 三氯氢硅triode pla nar reactor三真空管平面反应室triple well 三阱trivale nt 三价tun gste n(W)钨tun gste n stch back 钨反刻tun gsten hexafluoride(WF6) 六氟化钨tun gste n plug 钨塞,钨填充turbomolecular pump(turbo pump) 涡轮分子泵twin pla nes(tw inning) 双平面半导体术语表第43页共68页twin-well(twi n-tub) 双阱UULSI甚大规模集成电路ultralow pen etration air(ULPA) 超低穿透空气ultrafiltration 超过滤ultrafi ne particle 超细颗粒ultrahigh purity 超高纯度ultrahigh vacuum 超高真空ultrashallow junction 超浅结ultrashallow jun ctio n 超声键合(压焊)ultraviolet 紫外线undercut 钻蚀un iformity 均匀性unit cell 元包,晶胞un patter ned etchi ng(spripp ing) 无图形刻蚀(剥离)un patter ned wafer 无图形硅片unplug v.拔去(塞子,插头等),去掉…的障碍物UV紫外线VVacancy 空位vacuum 真空vacuum wand 真空吸片棒,真空镊子van der pauw method 范德堡法vapor phase epotaxy(VPE) 气相外延vapor pressure 气压vapor prime气相熏增粘剂,气相成底膜半导体术语表第44页共68页vaporizati on 气化variable n.[数]变数,可变物,变量adj. 可变的, 不定的,易变的,[数]变量的variable angle spectriscipic ellipsometry(VASE) 可变角度椭偏仪variation n.变更,变化,变异,变种,[音]变奏,变调various adj.不同的,各种各样的,多方面的,多样的vertical furn ace 立式炉via通孑Lviscous flow 粘滞流VLSI超大规模集成电路volatile memory 挥发性存储器volatile 挥发voltage regulator 温压器Wwafer cassette 硅片架wafer charg ing 硅片充电wafer electrical test(WET) 硅片电学测试wafer etch硅片刻蚀wafer flat or notch 硅片定位边或定位凹槽半导体术语表第45页共68页wireb on di ng 引线键合wiri ng 连线withi n-wafer nonun iformity(WIWNU) 片内不均匀性XX-ray X射线X-ray fluoresce nce(XRF) X 射线荧光性半导体术语表第46页共68页X-ray lithography X 射线光刻X-ray photoelectron spectroscopy ( XPS) X 射线光电能谱仪YYield 成品率Yield man agem ent system 成品率管理系统ZZeta potential zeta 电势zone n.地域,地带,地区,环带,圈vt.环绕,使分成地带vi.分成区半导体术语表第47页共68页10. Ammonium fluoride : NH4F11. Ammonium hydroxide : NH4OH12. Amorphous silicon : a -Si,非晶硅(不是多晶硅)13. An alog :模拟的14. Angstrom : A (1E-10m)埃15. An isotropic :各向异性(如POLY ETCH16. AQL(Accepta nce Quality Level) :接受质量标准,在一定采样下,可以95%置信度通过质量标准 (不同于可靠性,可靠性要求一定时间后的失效率)17. ARC(A ntireflective coat in g) :抗反射层(用于METAL等层的光刻)18. An tim on y(Sb)锑19. Argon (Ar)氩20. Arse nic(As) 砷21. Arsen ic trioxide(As2O3) 三氧化二砷22. Arsi ne(AsH3)23. Asher :去胶机24. Aspect ration :形貌比(ETCH中的深度、宽度比)25. Autodopi ng :自搀杂(外延时SUB的浓度高,导致有杂质半导体术语表第48页共68页蒸发到环境中后,又回掺到外延层)26. Back end :后段(CONTACT S后、PCM测试前)27. Baseli ne :标准流程28. Benchmark :基准29. Bipolar :双极30. Boat :扩散用(石英)舟31. CD : ( Critical Dimension )临界(关键)尺寸。

微电子专业英语翻译(部分)

微电子专业英语翻译(部分)

微电子专业英语部分翻译段落参考参考教材,不通顺之处自己整理第一章1页1.1.1 Solid-state…固态材料可分为三种:绝缘体、半导体和导体。

图1-1给出了在三种材料中一些重要材料相关的电阻值(相应电导率)。

绝缘体如熔融石英和玻璃具有很低电导率,在10^-18到10^-8S/cm之间。

导体如铝和银有高的电导率,典型值从104到106S/cm;而半导体具有的电导率介乎于两者之间。

半导体的电导率一般对温度、光照、磁场和小的杂志原子非常敏感。

在电导率上的敏感变化使得半导体材料称为在电学应用上为最重要的材料。

3页1.1.2 The semiconductor…我们研究的半导体材料是单晶,也就是说,原子是按照三维周期形式排列。

在晶体中原子的周期排列称为晶格。

在晶体里,一个原子从不远离它确定位置。

与原子相关的热运动也是围绕在其位置附近。

对于给定的半导体,存在代表整个晶格的晶胞,通过在晶体中重复晶胞组成晶格。

6页1.1.3 As discussed…如1.1.2节所述,在金刚石结构的每个原子被4个相邻原子所包围。

每个原子在外轨道具有4个电子,并且每个电子与相邻原子共享价电子;每对电子组成一个共价键。

共价键存在于同种原子之间或具有相同外层电子结构的不同元素的原子间。

每个电子与每个原子核达到平衡需要相同时间。

然而,所有电子需要很多时间在两个原子核间达到平衡。

两个原子核对电子的吸引力保证两个原子在一起。

对于闪锌矿机构如砷化镓主要的价键引力主要来自于共价键。

当然,砷化镓也具有小的离子键引力即Ga+离子与四周As-离子,或As离子和四周Ga+离子。

7页1.1.4 The detailed…结晶固体的详细能带结构能够用量子理论计算而得。

图1-3是孤立硅原子的金刚石结构晶体形成的原理图。

每个孤立原子有不连续能带(在右图给出的两个能级)。

如原子间隔的减少,每个简并能级将分裂产生带。

在空间更多减少将导致能带从不连续能级到失去其特性并合并起来,产生一个简单的带。

电子科学与技术_专业英语_第三章大部分翻译

电子科学与技术_专业英语_第三章大部分翻译

电子科学与技术专业英语第三章大部分翻译(P139)3.1晶界生长与外延正如前面第一章所讨论的那样,在分立器件和集成电路中最重要的两种半导体是硅和砷化镓,在这一章我们叙述这两种半导体的常用的单晶生长技术,基本的工艺流程是从原料到抛光晶片,原料经过化学处理做成一个用来生长单晶的高纯多晶半导体。

单晶硅锭铸形,以定义材料的直径,这些晶片经过腐蚀和抛光来提供一个光滑的特定的且器件将做在上面的表面。

一种和单晶生长密切相关的技术包含一个单晶半导体层在一个单晶半导体衬底的生长,这叫外延,它是从希腊语epi 和taxis得来的,外延工艺提供了一种重要的控制掺杂形貌的技术,以至于器件和电流性能可以被优化。

例如,一个掺杂浓度相称低的半导体层可以在一个同型掺杂而浓度很高的衬底外延生长,通过这种方式和衬底相关联的体电阻将被充分地减少,许多新的器件结构,特别是微波和光学器件,可以通过外延工艺制得。

在这章的后面我们将考虑讨论一些重要外延生长技术。

(p140)3.2从熔体生长单晶从熔体生长单晶有两种基本方法,直拉法和布里奇曼法,用于半导体行业的充足百分比的硅单晶是通过直拉法制备的,实际上所有的用于集成电路制造的硅都是用这方法制备的。

大部份的砷化镓,在另一方面,是通过布里奇曼法生长的。

然而,直拉法在生长大直径的砷化镓方面变得越来越流行。

3.2.1原始材料硅的起始材料是一种相当纯的叫做石英的沙子形式。

它和各种形式的碳被置于炉中,当很多反应在炉中发生时,总的反应式是SI+SIO2=这种工艺生产出纯度98%的冶金及的硅。

下一步,硅被磨碎和氯化氢反应生成三氯氢硅(SIHCL3)SI + 2HCL三氯氢硅在温室下是液体,液体分馏除去不要的杂质,净化过后的SIHCL3用于与氢气反应。

制备电子级的硅(EGS):SIHCL3+这个反应在包括为硅的沉积提供晶体成核点的电阻加热硅棒的反应堆中发生,纯度为电子级别的硅,也就是一个高纯的多晶硅材料,是用于制备器件级质量的单晶硅的未加工材料。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

1 February 2008
explicit excitations of glue-field [5], glueball field [6], or chiral fields [7–9] may be important for formation of the Roper resonance. In this letter we use a simple model, the chromodielectric model (CDM), which is particularly suitable to describe the interplay of glueball and meson excitations together with the usual quark radial excitation. In contrast to the nonrelativistic or relativistic versions of the constituent quark model, in the CDM the electromagnetic current operator is derived directly from the Lagrangian, hence no additional assumptions have to be introduced in the calculation of electroexcitation amplitudes. The electromagnetic current contains an explicit contribution from the pion field which has been shown to play an important role in the description of the N–∆ electroproduction [10]. The Roper has been considered in a non-chiral version of the CDM using the RPA techniques to describe coupled vibrations of valence quarks and the background chromodielectric field [6]. The energy of the lowest excitation turned out to be 40 % lower than the pure 1s–2s excitations. A similar result was obtained by Guichon [11], using the MIT bag model and considering the Roper as a collective vibration of valence quarks and the bag. In our approach we describe the nucleon and the Roper as chiral solitons resulting from the non-linear interactions between quarks and a scalar-isoscalar chiral singlet field χ which, through the peculiar way it couples to the quarks, provides a mechanism for confinement. In addition, the quarks interact with scalar-isoscalar (σ ) and pseudoscalar-isovector (π ) mesons similarly as in the linear σ -model, though in the CDM the chiral fields are weaker and similar to the solution in the CBM for bag radius above 1 fm. The Lagrangian of the model can be written as [12] L = Lq + Lσ,π + Lq−meson + Lχ , where ¯ µ ∂µ ψ , Lq = iψγ
1 2 3 4
E-mail:pedro@teor.fis.uc.pt E-mail:tmanuel@teor.fis.uc.pt E-mail:bojan.golli@ijs.si E-mail:jpcmarques@
Preprint submitted to Elsevier Preprint
Abstract The Roper resonance is described in a chiral version of the chromodielectric model as a cluster of three quarks in radial-orbital configuration (1s)2 (2s)1 , surrounded by π and σ -meson clouds and by a chromodielectric field which assures quark dynamical confinement. Radial profiles for all fields are determined self-consistently for each baryon. Transverse A1/2 and scalar S1/2 helicity amplitudes for the nucleon-Roper transition are calculated. The contribution of glueball and σ -meson vibrations is estimated; although small for N(1440), the σ contribution can be large for N(1710). (PACS 12.39.Fe, 13.40.Gp, 14.20.Gk)
a Department b Centre c Faculty
of Physics, University of Coimbra, P-3004-516 Coimbra, Portugal
for Computational Physics, University of Coimbra P-3004-516 Coimbra, Portugal of Education, University of Ljubljana, and J. Stefan Institute, Ljubljana, Slovenia
The last term in (1) contains the kinetic and the potential piece for the χ-field: 1 1 ∂µ χ ˆ ∂µχ ˆ − M2 χ ˆ2 . Lχ = 2 2 2 (4)
Other versions of the CDM consider a quartic potential in (4). By taking just the mass term the confinement is imposed in the smoothest way, which seems to be the most appropriate choice for the quark matter sector of the CDM [13]. The parameters of the model have been fixed by requiring that the calculated static properties of the nucleon agree best with the experimental values: we take g = 0.03 GeV and M = 1.4 GeV [10,13,14]. The pion decay constant and the chiral meson masses are fixed to fπ = 0.093 GeV and mπ = 0.14 GeV, while for the mass of the σ -meson we consider values between mσ = 0.7 GeV and mσ = 1.2 GeV. We have checked that our results depend very weakly on the variations of these parameters. The starting point to describe a baryon is the hedgehog coherent state, which we write in the form:
The new facilities for intermediate energy nuclear physics, operating with continuous electron beams, make more accessible accurate measurements of electromagnetic properties of both the nucleon and excited states, thus providing more and better information on the structure of baryons, and stimulating theoretical research on the structure of the nucleons and its resonances. The Roper resonance, N(1440), is of particular interest since, due to its relatively low excitation energy, a simple picture in which one quark populates the 2s level does not work here. The constituent quark model (CQM) does not yield sensible results for the electromagnetic properties unless the quark dynamics is treated relativistically [1,2] and, furthermore, approximations beyond the simple Gaussian approximation [3], or inclusion of q q ¯ pairs [4] are taken into account. These difficulties suggest that additional degrees of freedom, such as
相关文档
最新文档