数字电路课程设计实验报告1
数字电路实验报告
数字电路实验报告姓名:张珂班级:10级8班学号:2010302540224实验一:组合逻辑电路分析一.实验用集成电路引脚图1.74LS00集成电路2.74LS20集成电路二、实验内容1、组合逻辑电路分析逻辑原理图如下:U1A 74LS00NU2B74LS00NU3C74LS00N X12.5 VJ1Key = Space J2Key = Space J3Key = Space J4Key = SpaceVCC5VGND图1.1组合逻辑电路分析电路图说明:ABCD 按逻辑开关“1”表示高电平,“0”表示低电平; 逻辑指示灯:灯亮表示“1”,灯不亮表示“0”。
真值表如下: A B C D Y 0 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 0 1 1 1 0 1 0 0 0 0 1 0 1 0 0 1 1 0 0 0 1 1 1 1 1 0 0 0 0 1 0 0 1 0 1 0 1 0 0 1 0 1 1 1 1 1 0 0 1 1 1 0 1 1 1 1 1 0 1 1 1 1 1 1表1.1 组合逻辑电路分析真值表实验分析:由实验逻辑电路图可知:输出X1=AB CD =AB+CD ,同样,由真值表也能推出此方程,说明此逻辑电路具有与或功能。
2、密码锁问题:密码锁的开锁条件是:拨对密码,钥匙插入锁眼将电源接通,当两个条件同时满足时,开锁信号为“1”,将锁打开;否则,报警信号为“1”,则接通警铃。
试分析下图中密码锁的密码ABCD 是什么? 密码锁逻辑原理图如下:U1A74LS00NU2B74LS00NU3C 74LS00NU4D 74LS00NU5D 74LS00NU6A74LS00N U7A74LS00NU8A74LS20D GNDVCC5VJ1Key = SpaceJ2Key = SpaceJ3Key = SpaceJ4Key = SpaceVCC5VX12.5 VX22.5 V图 2 密码锁电路分析实验真值表记录如下:实验真值表 A B CD X1 X2 0 0 0 0 0 1 0 0 0 1 0 1 0 0 1 0 0 1 0 0 1 1 0 1 0 1 0 0 0 1 0 1 0 1 0 1 0 1 1 0 0 1 0 1 1 1 0 1 1 0 0 0 0 1 1 0 0 1 1 0 1 0 1 0 0 1 1 0 1 1 0 1 1 1 0 0 0 1 1 1 0 1 0 1 1 1 1 0 0 1 1 11 10 1表1.2 密码锁电路分析真值表实验分析:由真值表(表1.2)可知:当ABCD 为1001时,灯X1亮,灯X2灭;其他情况下,灯X1灭,灯X2亮。
数电实验报告1
实验一门电路逻辑功能及测试一、实验目的1、熟悉门电路逻辑功能。
2、熟悉数字电路学习机及示波器使用方法。
二、实验仪器及材料1、双踪示波器2、器件74LS00 二输入端四与非门2片74LS20 四输入端双与非门1片74LS86 二输入端四异或门1片74LS04 六反相器1片三、预习要求1、复习门电路工作原理相应逻辑表达示。
2、熟悉所有集成电路的引线位置及各引线用途。
3、了解双踪示波器使用方法。
四、实验内容实验前按学习机使用说明先检查学习机是否正常,然后选择实验用的集成电路,按自己设计的实验接线图接好连线,特别注意Vcc及地线不能接错。
线接好后经实验指导教师检查无误方可通电。
试验中改动接线须先断开电源,接好线后在通电实验。
1、测试门电路逻辑功能。
(1)选用双输入与非门74LS20一只,插入面包板,按图连接电路,输入端接S1~S4(电平开关输入插口),输出端接电平显示发光二极管(D1~D8任意一个)。
(2)将电平开关按表1.1置位,分别测出电压及逻辑状态。
(表1.1)2、异或门逻辑功能测试(1)选二输入四异或门电路74LS86,按图接线,输入端1﹑2﹑4﹑5接电平开关,输出端A﹑B﹑Y接电平显示发光二极管。
(2)将电平开关按表1.2置位,将结果填入表中。
表 1.23、逻辑电路的逻辑关系(1)选用四二输入与非门74LS00一只,插入面包板,实验电路自拟。
将输入输出逻辑关系分别填入表1.3﹑表1.4。
(2)写出上面两个电路的逻辑表达式。
表1.3 Y=A ⊕B表1.4 Y=A ⊕B Z=AB 4、逻辑门传输延迟时间的测量用六反相器(非门)按图1.5接线,输80KHz 连续脉冲,用双踪示波器测输入,输出相位差,计算每个门的平均传输延迟时间的tpd 值 : tpd=0.2μs/6=1/30μs 5、利用与非门控制输出。
选用四二输入与非门74LS00一只,插入面包板,输入接任一电平开关,用示波器观察S 对输出脉冲的控制作用:一端接高有效的脉冲信号,另一端接控制信号。
数字电子技术 实验报告
实验一组合逻辑电路设计与分析1.实验目的(1)学会组合逻辑电路的特点;(2)利用逻辑转换仪对组合逻辑电路进行分析与设计。
2.实验原理组合逻辑电路是一种重要的数字逻辑电路:特点是任何时刻的输出仅仅取决于同一时刻输入信号的取值组合。
根据电路确定功能,是分析组合逻辑电路的过程,一般按图1-1所示步骤进行分析。
图1-1 组合逻辑电路的分析步骤根据要求求解电路,是设计组合逻辑电路的过程,一般按图1-2所示步骤进行设计。
图1-2 组合逻辑电路的设计步骤3.实验电路及步骤(1)利用逻辑转换仪对已知逻辑电路进行分析。
a.按图1-3所示连接电路。
b.在逻辑转换仪面板上单击由逻辑电路转换为真值表的按钮和由真值表导出简化表达式后,得到如图1-4所示结果。
观察真值表,我们发现:当四个输入变量A,B,C,D中1的个数为奇数时,输出为0,而当四个输入变量A,B,C,D 中1的个数为偶数时,输出为1。
因此这是一个四位输入信号的奇偶校验电路。
图1-4 经分析得到的真值表和表达式(2)根据要求利用逻辑转换仪进行逻辑电路的设计。
a.问题提出:有一火灾报警系统,设有烟感、温感和紫外线三种类型不同的火灾探测器。
为了防止误报警,只有当其中有两种或两种以上的探测器发出火灾探测信号时,报警系统才产生报警控制信号,试设计报警控制信号的电路。
b.在逻辑转换仪面板上根据下列分析出真值表如图1-5所示:由于探测器发出的火灾探测信号也只有两种可能,一种是高电平(1),表示有火灾报警;一种是低电平(0),表示正常无火灾报警。
因此,令A、B、C分别表示烟感、温感、紫外线三种探测器的探测输出信号,为报警控制电路的输入、令F 为报警控制电路的输出。
图1-5 经分析得到的真值表(3)在逻辑转换仪面板上单击由真值表到处简化表达式的按钮后得到最简化表达式AC+AB+BC。
4.实验心得通过本次实验的学习,我们复习了数电课本关于组合逻辑电路分析与设计的相关知识,掌握了逻辑转换仪的功能及其使用方法。
数字电路与逻辑设计实验报告
数字电路与逻辑设计实验报告数字电路与逻辑设计实验报告摘要:本实验旨在通过设计和实现数字电路和逻辑门电路,加深对数字电路和逻辑设计的理解。
实验过程中,我们使用了逻辑门电路、多路选择器、触发器等基本数字电路元件,并通过实际搭建电路和仿真验证,验证了电路的正确性和可靠性。
引言:数字电路和逻辑设计是计算机科学与工程领域的重要基础知识。
在现代科技发展中,数字电路的应用范围非常广泛,涉及到计算机、通信、控制等各个领域。
因此,深入理解数字电路和逻辑设计原理,掌握其设计和实现方法,对于我们的专业学习和未来的工作都具有重要意义。
实验一:逻辑门电路的设计与实现逻辑门电路是数字电路中最基本的元件之一,通过逻辑门电路可以实现各种逻辑运算。
在本实验中,我们通过使用与门、或门、非门等逻辑门电路,设计并实现了一个简单的加法器电路。
通过搭建电路和进行仿真验证,我们验证了加法器电路的正确性。
实验二:多路选择器的设计与实现多路选择器是一种常用的数字电路元件,可以根据控制信号的不同,选择不同的输入信号输出。
在本实验中,我们通过使用多路选择器,设计并实现了一个简单的数据选择电路。
通过搭建电路和进行仿真验证,我们验证了数据选择电路的正确性。
实验三:触发器的设计与实现触发器是一种常用的数字电路元件,可以存储和传输信息。
在本实验中,我们通过使用触发器,设计并实现了一个简单的二进制计数器电路。
通过搭建电路和进行仿真验证,我们验证了二进制计数器电路的正确性。
实验四:时序逻辑电路的设计与实现时序逻辑电路是一种特殊的数字电路,其输出不仅与输入信号有关,还与电路的状态有关。
在本实验中,我们通过使用时序逻辑电路,设计并实现了一个简单的时钟电路。
通过搭建电路和进行仿真验证,我们验证了时钟电路的正确性。
实验五:数字电路的优化与综合数字电路的优化与综合是数字电路设计中非常重要的环节。
在本实验中,我们通过使用逻辑代数和Karnaugh图等方法,对已有的数字电路进行了优化和综合。
数字电路实验报告
数字电路实验报告数字电路实验报告引言数字电路是现代电子技术中的重要组成部分,它在计算机、通信、嵌入式系统等领域发挥着重要作用。
本次实验旨在通过设计和实现几个基本的数字电路电路,加深对数字电路原理和设计的理解。
一、二进制加法器的设计与实现在数字电路中,二进制加法器是最基本的电路之一。
我们通过实验设计了一个4位二进制加法器,并对其进行了验证。
首先,我们使用逻辑门电路实现了半加器和全加器,并将它们进行了级联。
然后,我们使用逻辑门电路搭建了4位二进制加法器电路,并通过逻辑分析仪验证了其正确性。
二、时序电路的设计与实现时序电路是数字电路中非常重要的一类电路,它涉及到电路中信号的时序关系。
在本次实验中,我们设计了一个简单的时序电路——计数器电路。
我们使用JK触发器和逻辑门电路搭建了一个4位二进制计数器,并通过示波器观察了计数器的输出波形。
实验结果表明,计数器能够按照预期进行计数,并且输出波形稳定。
三、组合逻辑电路的设计与实现组合逻辑电路是由多个逻辑门电路组合而成的电路,它的输出仅仅取决于当前输入信号的状态,而与过去的输入信号状态无关。
在本次实验中,我们设计了一个4位二进制比较器电路。
我们使用逻辑门电路搭建了比较器,并通过逻辑分析仪验证了其正确性。
实验结果表明,比较器能够准确判断两个4位二进制数的大小关系。
四、存储器电路的设计与实现存储器是计算机系统中非常重要的组成部分,它用于存储和读取数据。
在本次实验中,我们设计了一个简单的存储器电路——SR锁存器。
我们使用逻辑门电路搭建了SR锁存器,并通过示波器观察了其输出波形。
实验结果表明,SR锁存器能够正确地存储和读取数据。
五、总结与展望通过本次实验,我们深入学习了数字电路的基本原理和设计方法。
我们了解了二进制加法器、时序电路、组合逻辑电路和存储器电路的设计与实现过程,并通过实验验证了它们的正确性。
通过这些实验,我们对数字电路的工作原理和应用有了更深入的了解。
在未来,我们将进一步学习和探索数字电路的高级应用,为实际工程项目提供更好的支持。
数电实验报告实验一心得
数电实验报告实验一心得引言本实验是数字电路课程的第一次实验,旨在通过实际操作和观察,加深对数字电路基础知识的理解和掌握。
本次实验主要涉及布尔代数、逻辑门、模拟开关和数字显示等内容。
在实验过程中,我对数字电路的原理和实际应用有了更深入的了解。
实验一:逻辑门电路的实验实验原理逻辑门是数字电路中的基本组件,它能够根据输入的布尔值输出相应的结果。
常见的逻辑门有与门、或门、非门等。
本次实验主要是通过搭建逻辑门电路实现布尔函数的运算。
实验过程1. 首先,我按照实验指导书上的电路图,使用示波器搭建了一个简单的与门电路。
并将输入端连接到两个开关,输出端连接到示波器,以观察电路的输入和输出信号变化。
2. 其次,我打开示波器,观察了两个开关分别为0和1时的输出结果。
当两个输入均为1时,示波器上的信号为高电平,否则为低电平。
3. 我进一步观察了两个开关都为1时的输出信号波形。
通过示波器上的脉冲信号可以清晰地看出与门的实际运行过程,验证了实验原理的正确性。
实验结果和分析通过本次实验,我成功地搭建了一个与门电路,并观察了输入和输出之间的关系。
通过示波器上的信号波形,我更加直观地了解了数字电路中布尔函数的运算过程。
根据实验结果和分析,我可以总结出:1. 逻辑门电路可以根据布尔函数进行输入信号的运算,输出相应的结果。
2. 在与门电路中,当输入信号均为1时,输出信号为1,否则为0。
3. 示例器可以实时显示电路的输入和输出信号波形,方便实验者观察和分析。
结论通过本次实验,我对数字电路的基本原理和逻辑门电路有了更深刻的理解。
我学会了如何搭建逻辑门电路,并通过示波器观察和分析输入和输出信号的变化。
这对我进一步理解数字电路的设计和应用具有重要意义。
通过实验,我还锻炼了动手操作、实际观察和分析问题的能力。
实验过程中,需要认真对待并细致观察电路的运行情况,及时发现和解决问题。
这些能力对于今后的学习和研究都非常重要。
总之,本次实验让我更好地理解了数字电路的基本原理和应用,提高了我的实验能力和观察分析能力。
数字电路实验报告-组合逻辑电路(1)—仪器的使用及竞争与险象的观测
数字逻辑电路实验实验报告学号:班级:姓名:实验1 组合逻辑电路(1)——仪器的使用和竞争险象的观测一实验内容1.1示波器测量探头补偿信号1.2示波器测量信号源输出的正弦波信号1.3示波器测量信号源输出的方波信号1.4测量示波器的带宽1.57400功能测试1.6竞争与险象的观测二实验原理2.1示波器的基本使用示波器是一种可显示电信号波形的测量工具,可按照显示信号的方式分为数字示波器和模拟示波器两类。
常用的数字示波器通过对模拟信号进行AD转换、采样、存储进而显示波形。
实验中使用的示波器为GDS2202E,有两个输入通道,带宽200MHz,其面板各分区的主要功能如下:1.垂直控制区:包含两通道的开关按钮、幅值量程调节旋钮、参考电平调节旋钮等,可调节两通道的垂直尺度和波形零点高度。
2.水平控制区:主要含时基调节旋钮和触发发位置调节旋钮,可以调节波形显示的时间尺度和触发点的水平位置。
3.触发控制区:可以配合菜单键调节触发电平、触发方式、触发边沿等,可选择自动或正常触发模式,或选择上升、下降或双边沿触发,可实现单次触发功能。
4.菜单区:可设置示波器耦合方式(交、直流和地)、带宽、幅值倍率等参数。
另外,示波器还提供了光标测量、信号参数测量等其他功能。
示波器探头有分压功能,可实现对输入信号的10:1幅值变换,借以实现更大的量程。
使用示波器观测稳定信号时,可使用Autoset键使波形稳定,或自行调节幅值、时基、触发等参数使波形稳定。
观察信号暂态时,则可使用单次触发模式,调节合适的触发参数以实现信号捕捉。
2.2信号源的基本使用信号发生器可用于以一定参数生成波形。
实验中使用的信号发生器为SDG2402X,可生成正弦波、方波、噪声波等常见波形和各种调制波形,有两个输出通道。
使用时,按下Waveforms键选择波形,之后可使用触摸屏幕、数字键、旋钮等配合方向键设置各种波形参数,之后按下对应通道的输出键即可使能输出。
2.3实验中粗略测量示波器带宽的原理分析一切实际系统均有上限截止频率,示波器也不例外。
数字电路实验报告-实验一[总结]
实验一数字电路实验基础一、实验目的⑴掌握实验设备的使用和操作⑵掌握数字电路实验的一般程序⑶了解数字集成电路的基本知识二、预习要求复习数字集成电路相关知识及与非门、或非门相关知识三、实验器材⑴直流稳压电源、数字逻辑电路实验箱、万用表⑵74LS00、74LS02、74LS48四、实验内容和步骤1、实验数字集成电路的分类及特点目前,常用的中、小规模数字集成电路主要有两类。
一类是双极型的,另一类是单极型的。
各类当中又有许多不同的产品系列。
⑴双极型双极型数字集成电路以TTL电路为主,品种丰富,一般以74(民用)和54(军用)为前缀,是数字集成电路的参考标准。
其中包含的系列主要有:▪标准系列——主要产品,速度和功耗处于中等水平▪LS系列——主要产品,功耗比标准系列低▪S系列——高速型TTL、功耗大、品种少▪ALS系列——快速、低功耗、品种少▪AS系列——S系列的改进型⑵单极型单极型数字集成电路以CMOS电路为主,主要有4000/4500系列、40H系列、HC系列和HCT系列。
其显著的特点之一是静态功耗非常低,其它方面的表现也相当突出,但速度不如TTL集成电路快。
TTL产品和CMOS产品的应用都很广泛,具体产品的性能指标可以查阅TTL、CMOS集成电路各自的产品数据手册。
在本实验课程中,我们主要选用TTL数字集成电路来进行实验。
2、TTL集成电路使用注意事项⑴外形及引脚TTL集成电路的外形封装与引脚分配多种多样,如附录中所示的芯片封装形式为双列直插式(DIP)。
芯片外形封装上有一处豁口标志,在辨认引脚分配时,芯片正面(有芯片型号的一面)面对自己,将此豁口标志朝向左手侧,则芯片下方左起的第一个引脚为芯片的1号引脚,其余引脚按序号沿芯片逆时针分布。
⑵电源每片集成电路芯片均需要供电方能正常使用其逻辑功能,供电电源为+5V单电源。
电源正端(+5V)接芯片的VCC引脚,电源负端(0V)接芯片的GND引脚,两者不允许接反,否则会损坏集成电路芯片。
数字电路实验报告
数字电路实验报告实验目的本实验的目的是通过对数字电路的实际操作,加深对数字电路原理和实验操作的理解。
通过实验,理论联系实际,加深学生对数字电路设计和实现的认识和理解。
实验内容本次实验的实验内容主要包括以下几个方面:1.数码管显示电路实验2.时序电路实验3.组合电路实验实验仪器和器材本次实验所使用的仪器和器材包括:•真空发光数字数码管•通用数字逻辑芯片•实验箱•数字电路设计软件•示波器数码管显示电路实验在数码管显示电路实验中,我们将使用真空发光数字数码管和逻辑芯片来实现数字数码管的显示功能。
具体的实验步骤如下:1.按照实验箱上的电路图,将逻辑芯片及其它所需器件正确连接。
2.通过数字电路设计软件,编写和下载逻辑芯片的程序。
3.观察数码管的显示效果,检查是否符合预期要求。
时序电路实验时序电路是数字电路中非常重要的一部分,通过时序电路可以实现各种各样的功能。
在时序电路实验中,我们将通过设计一个简单的计时器电路来学习时序电路的设计和实现。
具体的实验步骤如下:1.在实验箱上按照电路图连接逻辑芯片及其它所需器件。
2.通过数字电路设计软件,编写和下载逻辑芯片的程序。
3.通过示波器观察时序电路的波形,检查是否符合设计要求。
组合电路实验组合电路是由多个逻辑门组合而成的电路,可以实现各种逻辑功能。
在组合电路实验中,我们将使用逻辑芯片和其他器件,设计并实现一个简单的闹钟电路。
具体的实验步骤如下:1.在实验箱上按照电路图连接逻辑芯片及其它所需器件。
2.通过数字电路设计软件,编写和下载逻辑芯片的程序。
3.测试闹钟电路的功能和稳定性,检查是否符合设计要求。
实验结果与分析通过以上的实验,我们成功地实现了数码管显示、时序电路和组合电路的设计和实现。
实验结果表明,在正确连接逻辑芯片和其他器件,并编写正确的程序的情况下,我们可以实现各种各样的数字电路功能。
通过实验过程中的观察和测试,我们也发现了一些问题和改进的空间。
例如,在时序电路实验中,我们发现时序电路的波形不够稳定,可能需要进一步优化。
电路实验实验报告
电路实验实验报告篇一:电路实验报告数字电路实验报告姓名:田月皎学号:XX080432201 学院:信息学院专业:运算机科学与技术指导教师:邹尔宁协助指导教师:XX年 12 月 28 日实验一经常使用仪器仪表利用一、实验目的:熟悉经常使用仪器仪表的利用二、实验器材:数字万用表,数字电路实验箱三、实验内容:熟悉万用表的功能及利用一、测电压〔直流电压测量〕二、测量电阻四、实验原理分析:〔一〕观看和了解数字万用表的构造一、熟悉数字万用表数字万用表的表头是灵敏电流计。
表头上的表盘印有多种符号,刻度线和数值。
符号A一V一Ω表示这只电表是能够测量电流、电压和电阻的多用表。
表盘上印有多条刻度线,其中右端标有“Ω〞的是电阻刻度线,其右端为零,左端为∞,刻度值散布是不均匀的。
符号“-〞或“DC〞表示直流,“~〞或“AC〞表示交流,“~〞表示交流和直流共用的刻度线。
刻度线下的几行数字是与选择开关的不同档位相对应的刻度值。
表头上还设有机械零位调整旋钮,用以校正指针在左端指零位。
2 、选择开关万用表的选择开关是一个多档位的旋转开关。
用来选择测量工程和量程。
〔如图3一4〔B〕〕。
一样的万用表测量工程包括:“mA〞;直流电流、“V〞:直流电压、“V〞:交流电压、“Ω〞:电阻。
每一个测量工程又划分为几个不同的量程以供选择。
二、表笔和表笔插孔表笔分为红、黑二只。
利历时应将红色表笔插入标有“+〞号的插孔,黑色表笔插入标有“-〞号的插孔。
〔二〕万用表的利用方式一、应检查表针是不是停在表盘左端的零位。
如有偏离,可用小螺丝刀轻轻转动表头上的机械零位调整旋钮,使表针指零 2 、将表笔按上面要求插入表笔插孔3 、将选择开关旋到相应的工程和量程上就能够够利用了〔三〕测试结果五实验总结:通过这次实验,了解了万用表的利用,明白了如何用万用表测量电阻,电压,等数据,稳固了电路根底。
实验二门电路功能测试一实验目的:〔1〕明白得TTL和CMOS一般门电路的参数含义〔2〕把握TTL和CMOS 一般门电路的利用方式〔3〕把握分析一般门电路逻辑功能的一样方式〔4〕明白得TTL和CMOS一般门电路参数的一样分析方式二、实验元器件:?一、四双输入与非门 74LS00 ×1片二、电阻100Ω×1只 ?3、电子电路实验箱 1个 ?4、数字万用表 1个三、实验内容:一、与非门逻辑功能测试 ? 二、与非门电压传输特性四、实验原理分析:一、与非门逻辑功能测试 (1)实验电路图与非门逻辑功能分析(a)器件顶视引脚图 (b)测试电路(2) 实验芯片 74LS00芯片 (3)实验进程? 一、参照与非门逻辑功能分析电路图,一只74LS00芯片中含有四个一样的双输入与非门? 二、依照电路图,将线连接正确,确保电路无误后可通电? 3、变换单刀双掷开关的状态,用直流电压表测试电路的输出电压〔4〕测试二、与非门电压传输特性 (1)实验电路图分析与非门电压传输特性电路〔2〕实验进程依照电路,在0~5V 间慢慢伐整输入的电流电压,将随之转变的数据记入测试结果表〔3〕测试结果五、实验总结:通过这次实验,学会用74LS00芯片做该实验研究“与非门电压传输特性〞,将可变电压从5V慢慢伐整到0V,电压在1V时跳变。
数字电路实验报告
数字电路实验报告本次实验是数字电路的实验,在本次实验中,我和我的同学们成功地完成了数字电路的实验,并且成功将LED灯显示。
1. 实验目的本次实验的目的是:通过实践操作,掌握数字电路的基础知识,能够有效地使用布尔代数和卡诺图方法进行电路设计和分析。
2. 实验基础数字电路是由数字电子元器件组成的电路。
数字电路能够处理数字信号,是所有数字计算机的基础核心部件。
数字电路的基础是数字集成电路的设计和应用。
数字电路的核心是门电路,门电路有多个种类,包括与门、或门、非门、异或门等。
门电路能够接受输入信号并输出信号,能够实现与、或、非、异或等逻辑运算。
在数字电路的实验中,我们需要掌握基本逻辑门的真值表和逻辑图,以及逻辑门的电路实现方法。
此外,我们还需要掌握一些进制转换的方法和数字电路的布线和测试方法。
3. 实验步骤本次实验中,我们的主要任务是设计和实现一个数字电路,该电路能够将数字输入转化成二进制显示输出,并且使用LED灯进行显示。
以下是我们的实验步骤。
步骤一:设计真值表首先,我们需要使用布尔代数和卡诺图方法,设计出一个真值表,该真值表能够将数字输入转换成二进制数输出。
步骤二:设计逻辑电路图在真值表的基础上,我们设计了一个逻辑电路图,该电路图包括与门、或门、非门、异或门等逻辑门电路,以及输入输出接口电路。
步骤三:建立硬件电路接下来,我们开始搭建硬件电路,将逻辑电路图中的元件进行布线连接。
步骤四:测试电路在布线完毕后,我们进行了电路的测试,确认电路能够工作,并且LED灯能够正常显示。
4. 实验结论通过本次实验,我学习到了数字电路的基础知识,能够使用布尔代数和卡诺图方法进行电路设计和分析。
我还学会了逻辑门的真值表和逻辑图的设计方法,以及数字电路的布线和测试方法。
最终,我和我的同学们成功地完成了数字电路的实验,将数字转换为二进制数并成功显示。
这次实验对我的学习和科研工作具有重要的启示和帮助。
数电项目实验报告(3篇)
第1篇一、实验目的1. 理解数字电路的基本概念和组成原理。
2. 掌握常用数字电路的分析方法。
3. 培养动手能力和实验技能。
4. 提高对数字电路应用的认识。
二、实验器材1. 数字电路实验箱2. 数字信号发生器3. 示波器4. 短路线5. 电阻、电容等元器件6. 连接线三、实验原理数字电路是利用数字信号进行信息处理的电路,主要包括逻辑门、触发器、计数器、寄存器等基本单元。
本实验通过搭建简单的数字电路,验证其功能,并学习数字电路的分析方法。
四、实验内容及步骤1. 逻辑门实验(1)搭建与门、或门、非门等基本逻辑门电路。
(2)使用数字信号发生器产生不同逻辑电平的信号,通过示波器观察输出波形。
(3)分析输出波形,验证逻辑门电路的正确性。
2. 触发器实验(1)搭建D触发器、JK触发器、T触发器等基本触发器电路。
(2)使用数字信号发生器产生时钟信号,通过示波器观察触发器的输出波形。
(3)分析输出波形,验证触发器电路的正确性。
3. 计数器实验(1)搭建异步计数器、同步计数器等基本计数器电路。
(2)使用数字信号发生器产生时钟信号,通过示波器观察计数器的输出波形。
(3)分析输出波形,验证计数器电路的正确性。
4. 寄存器实验(1)搭建移位寄存器、同步寄存器等基本寄存器电路。
(2)使用数字信号发生器产生时钟信号和输入信号,通过示波器观察寄存器的输出波形。
(3)分析输出波形,验证寄存器电路的正确性。
五、实验结果与分析1. 逻辑门实验通过实验,验证了与门、或门、非门等基本逻辑门电路的正确性。
实验结果表明,当输入信号满足逻辑关系时,输出信号符合预期。
2. 触发器实验通过实验,验证了D触发器、JK触发器、T触发器等基本触发器电路的正确性。
实验结果表明,触发器电路能够根据输入信号和时钟信号产生稳定的输出波形。
3. 计数器实验通过实验,验证了异步计数器、同步计数器等基本计数器电路的正确性。
实验结果表明,计数器电路能够根据输入时钟信号进行计数,并输出相应的输出波形。
逻辑数字电路实验报告
一、实验目的1. 理解并掌握基本逻辑门电路(与门、或门、非门、异或门)的功能和特性。
2. 学会使用基本逻辑门电路搭建组合逻辑电路。
3. 熟悉逻辑分析仪的使用方法,观察和分析逻辑电路的输出波形。
4. 培养动手实践能力和逻辑思维能力。
二、实验原理逻辑数字电路是数字电路的基础,它由基本逻辑门电路组成,可以完成各种逻辑运算。
本实验主要涉及以下基本逻辑门电路:1. 与门(AND gate):当所有输入端都为高电平时,输出才为高电平,否则输出为低电平。
2. 或门(OR gate):当至少一个输入端为高电平时,输出就为高电平,否则输出为低电平。
3. 非门(NOT gate):将输入信号取反,即输入高电平时输出低电平,输入低电平时输出高电平。
4. 异或门(XOR gate):当输入信号不同时,输出为高电平,否则输出为低电平。
三、实验器材1. 逻辑分析仪2. 74LS00(四路2-3-3-2输入与或非门)3. 74LS20(四路2-输入与非门)4. 74LS86(四路2-输入异或门)5. 连接线6. 电源四、实验步骤1. 搭建与门电路:- 使用74LS00搭建一个2输入与门电路。
- 通过逻辑分析仪观察输入和输出波形,验证与门电路的功能。
2. 搭建或门电路:- 使用74LS00搭建一个2输入或门电路。
- 通过逻辑分析仪观察输入和输出波形,验证或门电路的功能。
3. 搭建非门电路:- 使用74LS20搭建一个非门电路。
- 通过逻辑分析仪观察输入和输出波形,验证非门电路的功能。
4. 搭建异或门电路:- 使用74LS86搭建一个2输入异或门电路。
- 通过逻辑分析仪观察输入和输出波形,验证异或门电路的功能。
5. 搭建组合逻辑电路:- 使用上述基本逻辑门电路搭建一个组合逻辑电路,例如二进制加法器。
- 通过逻辑分析仪观察输入和输出波形,验证组合逻辑电路的功能。
五、实验结果与分析1. 与门电路:- 输入端都为高电平时,输出为高电平;输入端有一个或多个为低电平时,输出为低电平。
数字电路实验报告_北邮
一、实验目的本次实验旨在通过实践操作,加深对数字电路基本原理和设计方法的理解,掌握数字电路实验的基本步骤和实验方法。
通过本次实验,培养学生的动手能力、实验技能和团队合作精神。
二、实验内容1. 实验一:TTL输入与非门74LS00逻辑功能分析(1)实验原理TTL输入与非门74LS00是一种常用的数字逻辑门,具有高抗干扰性和低功耗的特点。
本实验通过对74LS00的逻辑功能进行分析,了解其工作原理和性能指标。
(2)实验步骤① 使用实验箱和实验器材搭建74LS00与非门的实验电路。
② 通过实验箱提供的逻辑开关和指示灯,验证74LS00与非门的逻辑功能。
③ 分析实验结果,总结74LS00与非门的工作原理。
2. 实验二:数字钟设计(1)实验原理数字钟是一种典型的数字电路应用,由组合逻辑电路和时序电路组成。
本实验通过设计一个24小时数字钟,使学生掌握数字电路的基本设计方法。
(2)实验步骤① 分析数字钟的构成,包括分频器电路、时间计数器电路、振荡器电路和数字时钟的计数显示电路。
② 设计分频器电路,实现1Hz的输出信号。
③ 设计时间计数器电路,实现时、分、秒的计数。
④ 设计振荡器电路,产生稳定的时钟信号。
⑤ 设计数字时钟的计数显示电路,实现时、分、秒的显示。
⑥ 组装实验电路,测试数字钟的功能。
3. 实验三:全加器设计(1)实验原理全加器是一种数字电路,用于实现二进制数的加法运算。
本实验通过设计全加器,使学生掌握全加器的工作原理和设计方法。
(2)实验步骤① 分析全加器的逻辑功能,确定输入和输出关系。
② 使用实验箱和实验器材搭建全加器的实验电路。
③ 通过实验箱提供的逻辑开关和指示灯,验证全加器的逻辑功能。
④ 分析实验结果,总结全加器的工作原理。
三、实验结果与分析1. 实验一:TTL输入与非门74LS00逻辑功能分析实验结果表明,74LS00与非门的逻辑功能符合预期,具有良好的抗干扰性和低功耗特点。
2. 实验二:数字钟设计实验结果表明,设计的数字钟能够实现24小时计时,时、分、秒的显示准确,满足实验要求。
数字电路课程设计实验报告
数字电路课程设计设计报告学院:计算机与信息学院姓名:学号:班级:通信班指导老师:许良凤吴从中设计题目一:智力竞赛电子抢答器1.设计任务与要求(1)通道数8个,每路设置一个抢答按钮, 供抢答者使用。
(2)电路具有第一抢答信号的鉴别和锁存功能。
在主持人将系统复位并发出抢答指令后,若参赛者按抢答开关, 则该组指示灯亮, 显示电路显示出抢答者的组别, 同时扬声器发出“滴嘟”的双音, 音响持续2~3 s。
(3)电路应具备自锁功能, 一旦有人事先抢答, 其他开关不起作用。
2. 方案设计与论证总体框图:74LS148他各组按键封锁,使其不起作用。
回答完问题后,由主持人将所有按键回复,重新开始下一轮抢答。
因此要完成抢答器的逻辑功能,该电路至少应包括输入开关,数字显示,判别组控制以及组号锁存等部分。
当主持人控制开关处于“清除”位置时,输出端全部为低电平,于是74LS48的BI非为低电平,显示器灭灯;74LS148的选通输入端ST非为低电平,74LS148处于工作状态,此时锁存电路不工作。
当主持人开关拨到“开始”位置时,优先编码电路和锁存电路同时处于工作状态,即抢答器处于等待工作状态,等待输入端输入信号,当有选手将按钮按下时,经74LS48译码后,显示器上显示出选手编号。
此外,CTR为高电平,使74LS148的ST非端为高电平,74LS148处于禁止工作状态,锁存其他按钮的输入。
当按下的按钮松开后,74LS148的非为高电平,但由于CTR维持高电平不变,所以74LS148仍处于禁止工作状态,其他按钮的输入信号不会被接受。
这就保证了抢答者的优先性以及抢答电路的准确性。
当优先抢答者回答完问题后,由主持人操作控制开关S,使抢答电路复位,以便进行下一轮抢答。
功能模块:(1)输入电路:输入电路由锁存器74LS373和按键组成(2)锁存器控制电路:锁存器控制电路由相关的门电路组成(3)数码显示电路:优先编码器74LS148进行编码,编成的二进制代码再送到BCD码七段译码驱动器74LS247,最后送到共阳极的七段数码管,显示相应的数字。
数电 实验报告
数电实验报告数电实验报告引言:数电实验是电子信息类专业的基础实验之一,通过实践操作,加深学生对数字电路的理解和应用能力。
本文将结合实际实验,对数电实验进行详细的报告。
一、实验目的本次实验的主要目的是通过设计、搭建并测试数字电路,加深对数字电路基本原理的理解,并掌握数字电路的设计和调试方法。
二、实验器材和原理本次实验所需的器材包括数字逻辑实验箱、示波器、函数信号发生器等。
实验原理主要涉及数字逻辑门电路、触发器、计数器等。
三、实验步骤与结果1. 实验一:基本逻辑门电路的设计与测试在实验一中,我们根据所学的逻辑门电路的知识,设计了与门、或门和非门电路,并使用实验箱搭建电路。
通过输入不同的信号,观察输出结果,验证电路的正确性。
实验结果显示,逻辑门电路能够根据输入信号的不同进行逻辑运算,并输出相应的结果。
2. 实验二:触发器的设计与测试在实验二中,我们学习了触发器的基本原理和应用。
通过搭建RS触发器和D触发器电路,并使用函数信号发生器输入时钟信号和触发信号,观察触发器的输出。
实验结果表明,触发器能够根据输入的时钟信号和触发信号,在特定条件下改变输出状态。
3. 实验三:计数器的设计与测试在实验三中,我们学习了计数器的基本原理和应用。
通过搭建二进制计数器电路,使用示波器观察计数器的输出波形,并验证计数器的功能。
实验结果显示,计数器能够根据输入的时钟信号,按照一定规律进行计数,并输出相应的结果。
四、实验总结与心得体会通过本次数电实验,我深刻理解了数字电路的基本原理和设计方法。
在实验过程中,我不仅学会了使用实验器材进行电路搭建和测试,还掌握了数字电路的调试技巧。
通过不断的实践操作,我对数字电路的理论知识有了更加深入的理解。
在今后的学习和工作中,我将继续加强对数字电路的学习和应用,不断提高自己的实践能力。
同时,我也明白了实验中的每一个细节都非常重要,只有严格按照实验步骤进行操作,才能保证实验结果的准确性和可靠性。
总之,本次数电实验是我在数字电路领域的一次重要实践,通过实验的过程,我不仅巩固了理论知识,还培养了自己的动手操作和问题解决能力。
数字电路实验报告
数字电路实验报告摘要:本实验旨在通过设计和实现数字电路,加深对数字电路原理的理解,并掌握电路设计和实验的基本方法。
本实验主要包括逻辑门电路、计数器电路和状态机电路的设计与实现。
通过实验,我们成功验证了数字电路的基本原理和功能。
引言:数字电路是现代电子技术的基础,广泛应用于计算机、通信、嵌入式系统等领域。
数字电路实验是电子工程专业的重要实践环节,通过实验可以加深对数字电路原理的理解,培养学生的动手实践能力和问题解决能力。
一、逻辑门电路设计与实现逻辑门电路是数字电路的基本组成部分,本实验通过设计和实现与、或、非、异或等逻辑门电路,加深对逻辑门的理解。
1.1 与门电路设计与实现与门是将两个输入信号进行逻辑与运算的电路,输出信号为两个输入信号的逻辑与。
根据与门的真值表,我们设计了与门电路,并使用逻辑门集成电路进行实现。
1.2 或门电路设计与实现或门是将两个输入信号进行逻辑或运算的电路,输出信号为两个输入信号的逻辑或。
根据或门的真值表,我们设计了或门电路,并使用逻辑门集成电路进行实现。
1.3 非门电路设计与实现非门是将输入信号进行逻辑非运算的电路,输出信号为输入信号的逻辑非。
根据非门的真值表,我们设计了非门电路,并使用逻辑门集成电路进行实现。
1.4 异或门电路设计与实现异或门是将两个输入信号进行异或运算的电路,输出信号为两个输入信号的异或。
根据异或门的真值表,我们设计了异或门电路,并使用逻辑门集成电路进行实现。
二、计数器电路设计与实现计数器电路是数字电路中常用的电路,本实验通过设计和实现二进制计数器和BCD计数器,加深对计数器电路的理解。
2.1 二进制计数器电路设计与实现二进制计数器是一种能够进行二进制计数的电路,根据计数器的位数,可以实现不同范围的计数。
我们设计了4位二进制计数器电路,并使用触发器和逻辑门集成电路进行实现。
2.2 BCD计数器电路设计与实现BCD计数器是一种能够进行BCD码计数的电路,BCD码是二进制编码的十进制表示形式。
数字系统电路实验报告(3篇)
第1篇一、实验目的1. 理解数字系统电路的基本原理和组成。
2. 掌握数字电路的基本实验方法和步骤。
3. 通过实验加深对数字电路知识的理解和应用。
4. 培养学生的动手能力和团队合作精神。
二、实验原理数字系统电路是由数字逻辑电路构成的,它按照一定的逻辑关系对输入信号进行处理,产生相应的输出信号。
数字系统电路主要包括逻辑门电路、触发器、计数器、寄存器等基本单元电路。
三、实验仪器与设备1. 数字电路实验箱2. 数字万用表3. 示波器4. 逻辑分析仪5. 编程器四、实验内容1. 逻辑门电路实验(1)实验目的:熟悉TTL、CMOS逻辑门电路的逻辑功能和测试方法。
(2)实验步骤:1)搭建TTL与非门电路,测试其逻辑功能;2)搭建CMOS与非门电路,测试其逻辑功能;3)测试TTL与门、或门、非门等基本逻辑门电路的逻辑功能。
2. 触发器实验(1)实验目的:掌握触发器的逻辑功能、工作原理和应用。
(2)实验步骤:1)搭建D触发器电路,测试其逻辑功能;2)搭建JK触发器电路,测试其逻辑功能;3)搭建计数器电路,实现计数功能。
3. 计数器实验(1)实验目的:掌握计数器的逻辑功能、工作原理和应用。
(2)实验步骤:1)搭建同步计数器电路,实现加法计数功能;2)搭建异步计数器电路,实现加法计数功能;3)搭建计数器电路,实现定时功能。
4. 寄存器实验(1)实验目的:掌握寄存器的逻辑功能、工作原理和应用。
(2)实验步骤:1)搭建4位并行加法器电路,实现加法运算功能;2)搭建4位并行乘法器电路,实现乘法运算功能;3)搭建移位寄存器电路,实现数据移位功能。
五、实验结果与分析1. 逻辑门电路实验通过搭建TTL与非门电路和CMOS与非门电路,测试了它们的逻辑功能,验证了实验原理的正确性。
2. 触发器实验通过搭建D触发器和JK触发器电路,测试了它们的逻辑功能,实现了计数器电路,验证了实验原理的正确性。
3. 计数器实验通过搭建同步计数器和异步计数器电路,实现了加法计数和定时功能,验证了实验原理的正确性。
数字电路实验报告
数字电路实验报告摘要本次实验通过搭建数字电路实验平台,进行了基本门电路的实验,并观察了不同电路的输出结果。
实验结果表明,基本门电路具有逻辑运算功能,能够实现信息的存储与传输,对于数字系统的设计与应用具有重要意义。
引言数字电路是计算机系统的重要组成部分,它通过处理和传输二进制信号来实现计算和控制功能。
基本门电路作为数字电路的基础,能够实现逻辑运算。
本次实验旨在通过搭建数字电路实验平台,探究基本门电路的工作原理并观察不同电路的输出结果。
实验方法1. 实验材料:- 4个双极性开关- 8个LED灯- 不同类型的集成电路芯片:AND门、OR门、XOR门、与非门、或非门等- 电平转换电路- 电源和导线等实验器材。
2. 实验步骤:1) 将所需的芯片和开关等电路构建材料准备齐全。
2) 按照实验要求将电路连接在数字电路实验平台上。
3) 打开实验平台电源,观察电路的输出结果。
4) 按照不同的开关状态,记录实验结果并进行分析。
实验结果与分析1. 实验一:AND门电路AND门电路是最基本的逻辑门电路之一,其输出信号只有当输入信号全部为高电平时才为高电平。
通过实验搭建的AND门电路,我们可以观察到以下现象:- 当两个输入信号均为低电平时,LED灯不亮。
- 当一个输入信号为低电平,另一个输入信号为高电平时,LED灯不亮。
- 当两个输入信号均为高电平时,LED灯亮起。
实验结果表明,AND门电路的输出结果符合预期,它具备了与运算的逻辑功能。
2. 实验二:OR门电路OR门电路也是一种基本的逻辑门电路,其输出信号只有当至少一个输入信号为高电平时才为高电平。
通过实验搭建的OR门电路,我们可以观察到以下现象:- 当两个输入信号均为低电平时,LED灯不亮。
- 当一个输入信号为低电平,另一个输入信号为高电平时,LED灯亮起。
- 当两个输入信号均为高电平时,LED灯亮起。
实验结果表明,OR门电路的输出结果符合预期,它具备了或运算的逻辑功能。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
序言在测试、研究或调整电子电路及设备时,为测定电路的一些点参量,如测量频率响应、噪声系数,为电压表定度等,都要求提供符合所需技术要求的电信号,以模拟在实际工作中使用的待测设备的激励信号。
信号发生器即由此而来,作为电子设计中常用仪器仪表,信号发生器又称信号源,可以用来产生被测电路所需特定参数的电测试信号。
根据输出波形的不同,信号源可以分为正弦波信号发生器、矩形脉冲信号发生器、函数信号发生器和随机信号发生器等四大类。
例如当要求进行系统的稳定性测量时,需使用振幅、波形、幅值等能在一定范围内进行精确调整,有很好的稳定性,有输出指示。
基于信号发生器的广泛使用,对信号发生器设计的研究就显得非常有意义。
本课题是利用VHDL语言来实现计费功能的,VHDL具有与具体硬件电路无关和与设计平台无关的特性,并且具有良好的电路行为描述和系统描述的能力,并在语言易读性和层次化、结构化设计方面,表现了强大的生命力和应用潜力,因此选用VHDL语言进行编程。
本次课程设计巩固和运用了所学课程,通过理论联系实际,提高了分析、解决计算机技术实际问题的独立工作能力,通过对一个函数信号发生器的设计,进一步加深了对计算机原理以及数字电路应用技术方面的了解与认识,进一步熟悉了数字电路系统设计、制作与调试的方法和步骤。
进一步了解了计算机组成原理与系统结构,使自己对EDA技术的学习更深入,学会用VHDL语言去控制将会使我们对本专业知识可以更好地掌握。
现在的信号发生器设计有以下几种方法:(1) 模拟直接合成法。
这种方法充分利用了乘法器、除法器、混频器、滤波器和快速开关构成合成信号发生器,但是它的缺点是带宽不够高,性能差,构成信号发生器的电路体积比较庞大,而且功耗较高。
(2) 间接数字合成法。
它采用数字电路代替模拟合成法的锁相环,减小了多次倍乘和滤波所需的设置时间,使频率设置时间降低到几。
间接数字合成法还可获得非整数N的分频,即分数N的频率合成(N<1)。
(3) 更先进的数字合成法是直接数字合成(DDS)。
它完全摆脱间接数字合成的乘法/除法电路,直接在基准时钟的准确相位控制下获得合成频率输出,频率控制的相位累加器由寄存器和加法器组成,如果相位累加器的宽度是30位,则相当于数字230或109,频率控制输入等于1时,将获得360 /109的相位信息,存储在波形存储器内,再经数模转换后输出最低合成频率,随着频率控制输入的增长,输出合成频率亦增加。
相位累加器的宽度增加时,输出合成频率的准确度相应增加。
由它构成的低频信号发生器虽然低频信号较好,但体积较大,价格较贵。
(4) 用FPGA或CPLD来实现。
它的优点是可以进行功能仿真,而且FPGA和CPLD的片内资源丰富,设计的流程简单,缺点是开发成本高。
(5) 用DSP来实现。
这主要是采用软件和硬件结合的设计方法,相对于以上提到的几种硬件设计方法,采用DSP容易进行仿真,而且适用的范围广,同时开发的成本也比较低,而且开发的周期短。
以上几种设计方法各有自己的优缺点,可以根据对信号发生器功能不同的要求,采用不同的设计方法。
本课题采用的是基于DSP的设计,这种设计主要靠编程来实现,可以产生各种复杂的波形,完全可以满足产生正弦波、方波、三角波三种基本波形的设计要求。
第一章多功能信号发生器的设计实现1、课题要求1. 能显示三角波、方波、正弦波三种波形。
2. 附加功能:实现锯齿波、频率调节、幅度调节。
5. 用VHDL语言设计符合上述功能要求的函数信号发生器,并用层次化设计方法设计该电路。
6.各模块状态用功能仿真的方法验证,并通过有关波形确认电路设计是否正确。
2、设计要求方案用FPGA设计一个多功能信号发生器,通过选择输入信号,可以输出锯齿波、三角波、方波和正弦波四种信号。
波形的频率可以通过256进制和16选1来控制。
信号发生器的控制模块可以用数据选择器实现,波形的选择可以用8选1数据选择器实现。
3、本人任务在本次课程设计中,我主要负责软件部分。
负责通过VHDL 语言设计频率调节模块、产生各波形模块、波形选择模块,以及仿真、调试、优化各模块。
最后将最好的软件下载到FPGA 芯片中。
第二章 信号发生器的结构框图1、结构框图其中用信号产生模块将产生所需要的各种信号,信号发生器的控制模块可以用数据选择器实现,用8选1数据选择器实现对几种信号的选择。
最后将波形数据送入D/A 转换器,将数字信号转换为模拟信号输出。
用示波器测试D/A 转换器的输出,可以观测到几种波形的输出。
2、以下为软件结构简图:用两个256进制计数器将50MHz 的晶振进行分频通过16选1数据选择器选择频256进制 计数器16选1数据选择器三角波方波8选1数据 选择器正弦波锯齿波时钟信号 信号控制 D/A 转换 输出信号选择信号率从而实现频率调节。
通过波形产生模块产生各个波形,再通过8选1数据选择器选择输出的波形。
第三章软件电路设计与仿真图1、四个波形的各个程序(1)三角波的编译:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity sanjiao isport(CLK:in std_logic;reset:in std_logic;a:out std_logic_vector(7 downto 0));end sanjiao;architecture one of sanjiao isbeginprocess(CLK,reset)variable Q:std_logic_vector(7 downto 0);variable sign:std_logic;beginif reset='1' thenQ:="00000000";sign:='0';elsif CLK'event and CLK='1' thenif sign='0' thenif Q="11111110"thenQ:="11111111";sign:='1';elseQ:=Q+'1';end if;elseif Q="00000001" thenQ:="00000000";sign:='0';elseQ:=Q-'1';end if;end if;end if;a<=Q;end process;end one;(中间部分波形图省略)分析:当reset=1时,计数器被清零;当reset=0,且clk为上升沿时,将进行计数。
在进行计数时,先判断计数方向是否为‘0’,若为‘0’则进行加计数,否则进行减计数,sign=0时先判断当前计数值是否为“11111110”,如果是,则将计数值置为“11111111”,并将sign置为‘1’;否则进行加1计数。
Sign=1时,先判断当前计数值是否为“00000001”,如果是,则将计数值置为“00000000”,并将sign置为‘0’;否则进行减1计数。
(2)正弦波的编译:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity sine isport( clk:in std_logic;reset:in std_logic;dout:out std_logic_vector(7 downto 0)); end sine;architecture six of sine issignal cnt_val:integer;beginu1:process(clk,reset)variable cnt: integer range 0 to 63; beginif reset='1' thencnt:=0;elsif clk'event and clk='1' thenif cnt=63 thencnt:=0;elsecnt:=cnt+1;end if;end if;cnt_val<=cnt;end process u1;u2:process(cnt_val)begincase cnt_val iswhen 0=>dout<="11111111";when 1=>dout<="11111110";when 2=>dout<="11111100";when 3=>dout<="11111001";when 4=>dout<="11110101";when 5=>dout<="11101111";when 6=>dout<="11101001";when 7=>dout<="11100001";when 8=>dout<="11011001";when 9=>dout<="11001111";when 10=>dout<="11000101";when 11=>dout<="10111010";when 12=>dout<="10101110";when 13=>dout<="10100010";when 14=>dout<="10010110";when 15=>dout<="10001001";when 16=>dout<="01111100";when 17=>dout<="01110000";when 18=>dout<="01100011";when 19=>dout<="01010111";when 20=>dout<="01001011"; when 21=>dout<="01000000"; when 22=>dout<="00110101"; when 23=>dout<="00101011"; when 24=>dout<="00100010"; when 25=>dout<="00011010"; when 26=>dout<="00010011"; when 27=>dout<="00001101"; when 28=>dout<="00001000"; when 29=>dout<="00000100"; when 30=>dout<="00000001"; when 31=>dout<="00000000"; when 32=>dout<="00000000"; when 33=>dout<="00000001"; when 34=>dout<="00000100"; when 35=>dout<="00001000"; when 36=>dout<="00001101"; when 37=>dout<="00010011"; when 38=>dout<="00011010"; when 39=>dout<="00100010"; when 40=>dout<="00101011"; when 41=>dout<="00110101"; when 42=>dout<="01000000"; when 43=>dout<="01001011"; when 44=>dout<="01010111"; when 45=>dout<="01100011"; when 46=>dout<="01110000"; when 47=>dout<="01111100"; when 48=>dout<="10001001"; when 49=>dout<="10010110"; when 50=>dout<="10100010"; when 51=>dout<="10101110"; when 52=>dout<="10111010"; when 53=>dout<="11000101"; when 54=>dout<="11001111"; when 55=>dout<="11011001"; when 56=>dout<="11100001"; when 57=>dout<="11101001"; when 58=>dout<="11101111"; when 59=>dout<="11110101"; when 60=>dout<="11111001"; when 61=>dout<="11111100"; when 62=>dout<="11111110"; when 63=>dout<="11111111";when others=>null;end case;end process u2;end six;分析:当reset=1时,表示复位有效;当reset=0时,判断脉冲信号输入是否为上升沿,如果为上升沿脉冲,将进行采样次数的计数。