开题报告(简易多功能信号发生器)
电子技术课程设计-多功能信号发生器-报告
-3-
1 引言
信号发生器广泛应用于各种电子技术领域,也是电子实验室的基本设备之一。在各类 学校实验室里广泛使用的是信号发生器的标准产品,虽然其功能齐全,性能指标较高,但 是价格昂贵,同时对于要求不高的实验环境,其许多功能也用不上。本设计采用集成函数 信号发生器 MAX038 而设计的简易多功能信号发生器,该电路结构简单,虽然功能和性能 指标比不上标准信号发生器,但基本可以满足一般的实验要求,且成本相对较低。
关键词: 函数;信号;发生器;MAX038;正弦波;方波;三角波
-2-
目
录
摘要. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .1 1 2 引言. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4 多功能信号发生器 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4 2.1 2.2 设计要求. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4 集成函数信号发生器 MAX038. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4 2.2.1 MAX038 的性能特点. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .4 2.2.2 MAX038 引脚功能. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .5 2.3 2.4 2.2.3 MAX038 工作原理. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .6 设计框图. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6 各单元电路设计. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7 2.4.1 MAX038 接口电路. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .7 2.4.2 2.4.3 2.4.4 2.4.5 3 4 波形选择单元电路. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .8 频段选择单元电路. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .9 频率粗调-频率微调-15%~85%占空比调节-50%占空比精调单元电路. . .10 输出幅值放大调节单元电路. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .13
多功能信号发生器设计报告.doc
重庆大学城市科技学院电气学院EDA课程设计报告题目:多功能信号发生器专业:电子信息工程班级:2006级03班小组:第12组学号及姓名:20060075蒋春20060071冯志磊20060070冯浩真指导教师:戴琦琦设计日期:2009-6-19多功能信号发生器设计报告一、设计题目运用所掌握的VHDL语言,设计一个信号发生器,要求能输出正弦波、方波、三角波、锯齿波,并且能改变其输出频率以及波形幅度,能在示波器上有相应波形显示。
二、课题分析(1).要能够实现四种波形的输出,就要有四个ROM(64*8bit)存放正弦波、方波、三角波、锯齿波的一个周期的波形数据,并且要有一个地址发生器来给ROM提供地址,ROM给出对应的幅度值。
(2).因为要设计的是个时序电路,所以要实现输出波形能够改变频率,就必须对输入的信号进行分频,以实现整体的频率的改变。
(3).设计要求实现调幅,必须对ROM输出的幅度信息进行处理。
最简单易行的方法是对输出的8位的幅度进行左移(每移移位相当于对幅度值行除以二取整的计算),从而达到幅度可以调节的目的。
同时为了方便观察,应再引出个未经调幅的信号作为对比。
三、设计的具体实现1、系统概述系统应该由五个部分组成:分频器(DVF)、地址发生器(CNT6B)、四个ROM 模块(data_rom_sin、data_rom_sqr、data_rom_tri、data_rom_c)、四输入多路选择器mux、幅度调节单元w。
2、单元电路设计与分析外部时钟信号经过分频器分频后提供给地址发生器和ROM,四个ROM的输出接在多路选择器上,用于选择哪路信号作为输出信号,被选择的信号经过幅度调节单元的幅度调节后连接到外部的D/A转换器输出模拟信号。
(1)分频器(DVF)分频器(DVF)的RTL截图分频器(DVF)的源代码:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY DVF ISPORT( D_MODE: IN STD_LOGIC_VECTOR(3 DOWNTO 0);D_CLK: IN STD_LOGIC;D_OUT: OUT STD_LOGIC);END DVF;ARCHITECTURE behav of DVF ISSIGNAL FULL: STD_LOGIC;BEGINP_REG: PROCESS(D_CLK)VARIABLE CNT8:STD_LOGIC_VECTOR(3 DOWNTO 0);BEGINIF D_CLK'EVENT AND D_CLK='1' THENIF CNT8="1111" THENCNT8:=D_MODE;FULL<='1';ELSE CNT8:=CNT8+1;FULL<='0';END IF;END IF;END PROCESS P_REG;P_DIV:PROCESS(FULL)VARIABLE CNT2:STD_LOGIC;BEGINIF FULL'EVENT AND FULL='1' THENCNT2:=NOT CNT2;IF CNT2='1' THEN D_OUT<='1'; ELSE D_OUT<='0';END IF;END IF;END PROCESS P_DIV;END behav;(2)地址发生器(CNT6B)地址发生器(CNT6B)的RTL截图地址发生器(CNT6B)的源代码:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY CNT6B ISPORT (C_CLK,C_RST,C_EN : IN STD_LOGIC;C_OUT : OUT STD_LOGIC_VECTOR(5 DOWNTO 0));END CNT6B;ARCHITECTURE behav OF CNT6B ISSIGNAL CQI : STD_LOGIC_VECTOR(5 DOWNTO 0);BEGINPROCESS(C_CLK,C_RST,C_EN)BEGINIF C_RST = '1' THEN CQI <= (OTHERS =>'0') ; --计数器异步复位ELSIF C_CLK'EVENT AND C_CLK='1' THEN --检测时钟上升沿IF C_EN = '1' THEN CQI <= CQI + 1;END IF;END IF;END PROCESS;C_OUT<=CQI;END behav;(3)四个ROM模块(data_rom_sin、data_rom_sqr、data_rom_tri、data_rom_c)data_rom_sin正弦波的ROM数据:data_rom_sqr方波的ROM数据:data_rom_tri三角波的ROM数据:data_rom_c锯齿波的ROM数据:ROM模块的源程序(以正弦波为例,其余以此类推):LIBRARY ieee;USE ieee.std_logic_1164.all;LIBRARY altera_mf;USE altera_mf.all;ENTITY data_rom_sin ISPORT( address : IN STD_LOGIC_VECTOR (5 DOWNTO 0);clock : IN STD_LOGIC ;q : OUT STD_LOGIC_VECTOR (7 DOWNTO 0));END data_rom_sin;ARCHITECTURE SYN OF data_rom_sin ISSIGNAL sub_wire0 : STD_LOGIC_VECTOR (7 DOWNTO 0);COMPONENT altsyncramGENERIC (address_aclr_a : STRING;init_file : STRING;intended_device_family : STRING;lpm_hint : STRING;lpm_type : STRING;numwords_a : NATURAL;operation_mode : STRING;outdata_aclr_a : STRING;outdata_reg_a : STRING;widthad_a : NATURAL;width_a : NATURAL;width_byteena_a : NATURAL);PORT ( clock0 : IN STD_LOGIC ;address_a : IN STD_LOGIC_VECTOR (5 DOWNTO 0);q_a : OUT STD_LOGIC_VECTOR (7 DOWNTO 0));END COMPONENT;BEGINq <= sub_wire0(7 DOWNTO 0);altsyncram_component : altsyncramGENERIC MAP (address_aclr_a => "NONE",init_file => "data_rom_sin.mif",intended_device_family => "Cyclone",lpm_hint => "ENABLE_RUNTIME_MOD=NO",lpm_type => "altsyncram",numwords_a => 64,operation_mode => "ROM",outdata_aclr_a => "NONE",outdata_reg_a => "CLOCK0",widthad_a => 6,width_a => 8,width_byteena_a => 1 )PORT MAP (clock0 => clock,address_a => address,q_a => sub_wire0);END SYN;(4)四输入多路选择器mux四输入多路选择器mux的源程序:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;ENTITY mux IS PORT(a,b,c,d: in std_logic_vector(7 downto 0);s: in std_logic_vector(1 downto 0);x:out std_logic_vector(7 downto 0));end mux;architecture archmux of mux isbeginmux4_1: process(a,b,c,d)beginif s="00" then x<=a;elsif s="01" then x<= b;elsif s="10" then x<=c;else x<=d;end if;end process mux4_1;end archmux;四输入多路选择器mux的RTL截图(5)幅度调节单元w幅度调节单元w的源程序:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;ENTITY W ISPORT( W_IN: IN STD_LOGIC_VECTOR(7 DOWNTO 0);W_MODE: IN STD_LOGIC_VECTOR(1 DOWNTO 0);W_OUT: OUT STD_LOGIC_VECTOR(7 DOWNTO 0));END W;ARCHITECTURE BEHAV OF W ISSIGNAL BUFF:STD_LOGIC_VECTOR(7 DOWNTO 0);BEGINW_OUT<=BUFF ;PROCESS( W_IN,W_MODE )BEGINCASE W_MODE ISWHEN "00" => BUFF<=W_IN ;WHEN "01" =>BUFF( 6 DOWNTO 0 )<=W_IN( 7 DOWNTO 1 ) ; BUFF( 7 )<='0';WHEN "10" =>BUFF( 5 DOWNTO 0 )<=W_IN( 7 DOWNTO 2 ) ;BUFF( 7 )<='0';BUFF( 6 )<='0';WHEN OTHERS =>BUFF( 4 DOWNTO 0 )<=W_IN( 7 DOWNTO 3 ) ; BUFF( 7 )<='0';BUFF( 6 )<='0'; BUFF( 5 )<='0';END CASE;END PROCESS;END ARCHITECTURE BEHAV;幅度调节单元w的RTL截图3.总的结构图4.通过逻辑分析仪观察到得波形图四、总结通过本次课程设计既巩固了EDA的一些相关基本知识,又熟悉了QuartusII 软件和相关硬件的相关操作。
课设报告——简易信号发生器
简易信号发生器设计摘要随着电子技术的飞快发展,单片机也应用得越来越广泛,基于单片机的智能仪器的设计技术不断成熟。
单片机构成的仪器具有高可靠性,高性价比。
单利用单片机采用程序设计方法来产生波形,线路相对简单,结构紧凑,价格低廉,频率稳定度高,抗干扰能力强等优点,而且还能对波形进行细微的调整,改良波形,易于程序控制。
只要对电路稍加修改,调整程序,就能实现功能的升级。
本系统利用单片机AT89C51采用程序设计方法产生正弦波、三角波、方波、锯齿波四种波形,再通过D/A转换器DAC0832将数字信号转换成模拟信号,滤波放大,最终由示波器显示出来,并通过按键来控制四种波形的类型选择。
本次设计主要由信号发生模块、数模转换模块和仿真模块。
关键词:单片机;数模转换;液晶显示屏目录第1章概述 (1)第2章系统总体方案选择 (1)2.1 系统硬件设计图 (1)2.2系统软件设计 (1)第3章各单元硬件设计及工作原理 (2)3.1单片机最小系统的设计 (2)3.2 函数信号发生器的设计 (2)3.2.1DAC0832芯片工作方式的选择 (2)3.2.2DAC0832芯片外围电路的设计 (2)3.3LCD12864显示屏 (3)3.3.1LCD12864与LCD1602的区别 (3)3.3.2LCD12864显示屏原理及其硬件设计 (3)第4章软件设计与说明 (3)4.1软件设计思路 (3)4.2波形数据输出程序设计 (4)4.3LCD12864显示程序设计 (5)第5章调试结果及其说明与使用说明 (6)5.1调试过程中遇到的问题 (6)5.1.1LCD12864显示问题 (6)5.1.2幅值调节问题 (6)5.2使用说明 (6)第6章总结 (7)第7章参考文献 (8)附录 (9)第1章概述在本系统中,设计的要求为产生三角波、正弦波、方波信号,要求频率和幅值可调。
并且显示内容可以在LCD显示出来,在本系统中,主控为AT89C51单片机,D/A 转换芯片采用的为ADC0832,LCD显示屏采用LCD12864,本系统设置有三个控制按键,分别为频率转换按键、波形切换按键、幅值切换按键,通过这三个按键,可以对输出的波形进行控制,波形幅值为0—5V,分为5个幅值挡位,频率范围为40Hz—400Hz,分为50个频率挡位。
信号发生器开题报告
信号发生器开题报告信号发生器开题报告一、引言信号发生器是电子工程领域中常用的一种仪器设备,用于产生各种类型的电信号。
它在电子测试、通信、无线电、音频等领域有着广泛的应用。
本文将对信号发生器的原理、分类、应用以及未来发展进行探讨。
二、信号发生器的原理信号发生器的原理基于信号的合成和调制技术。
它通过内部的振荡器产生基准信号,然后经过调制电路进行调制,最终输出各种类型的电信号。
常见的信号类型包括正弦波、方波、脉冲波等。
三、信号发生器的分类根据输出信号的频率范围,信号发生器可以分为射频信号发生器和低频信号发生器两大类。
射频信号发生器主要用于无线通信领域,其频率范围通常在几十千赫兹到几十吉赫兹之间。
低频信号发生器则主要应用于音频、电子测试等领域,其频率范围通常在几赫兹到几百兆赫兹之间。
四、信号发生器的应用1. 电子测试:信号发生器可以用于测试电子元器件的性能。
通过产生不同类型的信号,可以对电路的频率响应、非线性失真、幅度稳定性等进行测试和评估。
2. 通信系统:信号发生器在通信系统中起着重要的作用。
它可以产生各种调制方式的信号,用于模拟不同的通信场景,如调制解调器的性能测试、无线电信号的发射与接收等。
3. 音频设备:信号发生器可以用于音频设备的测试和校准。
通过产生标准的音频信号,可以对音响设备的频率响应、失真程度等进行评估。
4. 科学研究:信号发生器在科学研究中也有广泛的应用。
例如,在物理实验中,可以使用信号发生器产生特定频率的信号,用于研究波动、共振等现象。
五、信号发生器的未来发展随着科技的不断进步,信号发生器也在不断发展和创新。
未来的信号发生器有望具备更高的频率范围、更精确的信号调制能力以及更多的信号类型选择。
同时,随着人工智能和互联网技术的发展,信号发生器可能会与其他设备进行智能连接,实现更高效的测试和调试。
六、结论信号发生器作为一种重要的电子仪器设备,在电子测试、通信、无线电、音频等领域发挥着重要的作用。
简易信号发生器报告
电子设计竞赛培训作品设计报告简易信号发生器仪器组小组成员:林振兴,葛坤,陈自强摘要:本文介绍以DDS芯片AD9850为波形产生核心,以单片机STC89C52为主控制器,实现液晶显示的从100Hz到1MHz宽频带的频率任意设定(可按要求设定步进为10Hz、100Hz可调)、高精度(频稳度优于10-4)的正弦信号和脉冲信号发生器,实现在50负载上输出电压峰-峰值Vopp≥1V且可根据要求调节至负载输出电压的峰-峰值V opp=5V±0.1V。
并且通过DAC0832构成的程控增益放大器实现步进100mV可调的幅度键控功能。
且将自行产生的M序列数字二进制基带信号调制成在100kHz固定频率载波二进制键控的ASK和PSK。
关键词:DDS,AD9850,正弦、脉冲信号发生器,M序列,DAC0832,程控增益放大器,三端稳压7805、7905 ,OP37Abstract:This paper introduces the core, based on DDS chip AD9850 waveform is given priority to with microcontroller STC89C52 controller, the realization of liquid crystal display (LCD) from 100Hz to 1 MHz broadband frequency set arbitrary (can be set up step by step according to the requirement of 10Hz and 100Hz is adjustable), precision (frequency stability is better than 10-4) of the sine signal and the pulse signal generator, the load on the output voltage peak - peak Vopp acuity 1 V and output voltage can be adjusted according to the requirement to load the peak - peak Vopp =5V±0.1V. And through DAC0832 constitute a programmable gain amplifier to achieve step 100mV adjustable amplitude keying features. And will produce M sequence Numbers to binary baseband signal made in 100 KHZ binary fixed frequency carrier keying PSK and ASK.Keywords: DDS ,AD9850, sine, pulse signal generator, M sequence, DAC0832, programmable gain amplifier, three-terminal voltage regulator, 7905、7805,OP371、设计任务和要求设计制作一个可以产生正弦波,脉冲波的简易信号发生器1.1、基本要求(1)正弦波、方波输出频率范围:100Hz~1MHz;(2)具有频率设置功能,频率步进:100Hz;(3)输出信号频率稳定度:优于10-4;50负载电阻上的电压峰-峰值Vopp≥1V;(4)输出电压幅度:在Ω(5)失真度:用示波器观察时无明显失真;(6)频率的数字显示:5位;(7) 产生100Hz的正弦波,通过示波器显示其波形(与信号源输入100Hz 的正弦波分别用两个通道对比失真度)。
开题报告,单片机实现一个简单的信号发生器
开题报告,单片机实现一个简单的信号发生器第一篇:开题报告, 单片机实现一个简单的信号发生器单片机实现一个简单的信号发生器一、课题来源及研究的目的和意义1.1课题来源教师虚拟。
1.2研究的目的及意义本课题是基于单片机的信号发生器的设计。
研究本课题可以熟悉c 语言,MATLAB及相关电子器件的功能和用法。
通过对单片机硬件、软件的设计,及硬件与软件的联调后可以进一步熟悉相关的知识,提高利用所学知识解决实际问题的能力。
二、课题所涉及的问题在国内(外)研究现状分析单片微型计算机,简称单片机,是微型计算机的一个分支。
采用超大规模技术把具有数据处理能力(如算术运算、逻辑运算、数据传送、中断处理)的微处理器,随机存取数据存储器,只读程序存储器,输入输出电路等电路集成到一块单块芯片上,构成一个体积小,然而功能较完善的计算机系统。
这些电路能在软件的控制下准确、迅速、高效地完成程序设计者事先规定的任务。
单片机诞生20世纪70年代。
当时微电子技术正处于发展阶段,集成电路也属于中规模发展时期,各种新材料新工艺尚未成熟,单片机仍处在初级的发展阶段,元件集成规模还比较小,功能比较简单。
1976年INTEL公司推出了MCS-48单片机,这个时期的单片机才是真正的8位单片微型计算机,并推向市场。
到了80年代初,单片机已发展到了高性能阶段,像INTEL公司的MCS-51系列。
九十年代以后,单片机获得了飞速的发展,世界各大半导体公司相继开发了功能更为强大的单片机。
美国Microchip公司发布了一种完全不兼容MCS-51的新一代PIC系列单片机,引起了业界的广泛关注,特别它的产品只有33条精简指令集吸引了不少用户。
1990年美国INTEL公司推出了80960超级32位单片机引起了计算机界的轰动,产品相继投放市场,成为单片机发展史上又一个重要的里程碑。
我国的单片机应用始于80年代,虽然发展迅速,但相对于世界市场我国的占有率还很低。
到目前为止,由于我国的微电子技术和制造工艺都比较落后及国外单片机的竞争等原因,我国还没有设计生产出自己的单片机。
简易信号发生器报告
这次课程设计终于顺利完成了,在设计中遇到了很多程序问题,查阅了好多资料,请教了同学,终于游逆而解。正所谓三人行,则必有我师,我学得到很多实用的知识,同时,我也知道在大学里,好多的知识都是靠自己学习,领悟,并融会贯通,这是作为一个大学生应有的基本能力,世界日新月异,我们要时时刻刻保持学习的心态,孜孜不倦的学习。
四、软件设计与说明(包括流程图)
系统软件由主程序和产生波形的子程序组成,软件设计主要是产生各种波形的子程序的编程,通过编程可得到各种波形。主程序和几种常用波形子程序的流程图如图所示。
图 4-1 主程序流程图
N
Y
图 4-2 锯齿波流程图
N
N Y
Y
图 4-3 三角波Hale Waihona Puke 程图图 4-4 方波流程图
N
Y
图 4-5 正弦波流程图
MOVX @DPTR,A
INC DPTR
MOVX @DPTR,A
LCALL DELAY
DJNZ R2,LP1
DJNZ R1,PG3
SETB P1.2
RET
DELAY: MOV R4,#1FH
LP3: MOV R5,#0FH
LP4: DJNZ R5,LP4
DJNZ R4,LP3
RET
PRG4: MOV R1,#0FFH
ORG 0000H
MOV DPTR,#0CFA0H
MOV A,#00H
L1: MOVX @DPTR,A
ADD A,#10H
简易多功能函数信号发生器 毕业设计(含外文翻译)
毕业设计题目简易多功能函数信号发生器院、系信息工程系专业电子信息工程姓名学号指导教师2010年5月20日毕业设计(论文)开题报告2010 年月日学生姓名学号200814706 专业电子信息工程题目名称简易多功能函数信号发生器课题来源导师提供主要内容背景函数信号发生器是一种能能够产生多种波形,如三角波、锯齿波、矩形波(含方波)、正弦波的电路被称为函数信号发生器。
函数信号发生器在电路实验和设备检测中具有十分广泛的用途。
现在我们通过对函数信号发生器的原理以及构成设计一个能变换出三角波、正弦波、方波的简易发生器。
我们通过对电路的分析,参数的确定选择出一种最适合本课题的方案。
在达到课题要求的前提下保证最经济、最方便、最优化的设计策略。
按照设计的方案选择具体的原件,焊接出具体的实物图,并在实验室对焊接好的实物图进行调试,观察效果并与课题要求的性能指标作对比。
最后分析出现误差的原因以及影响因素。
课题的目的和意义通过本次设计掌握产品设计的流程,能灵活的使用S52单片机,并根据设计要求选择合适的元器件,充分考虑了产品的成本,同时通过模块框图到电路图再到仿真,充分理解了相关软件,如proteus的使用,也对整个产品设计时的调试等必要的环节有了更深刻的体会。
本次设计的意义在于通过选元件,连线焊接,调试检测等过程,锻炼自己的理论联系实际的能力和实际操作能力,从而综合性地巩固所学的知识。
这次设计使我们学会综合的运用所学专业知识去分析、解决实际问题;较熟练地掌握了通过文献检索、资料查询从而获取新知识的方法;巩固了计算机软件、硬件或应用系统设计和开发的基本能力。
系统的功能要求本次设计包含以下部分:LCD液晶显示,电源部分,按键控制模块,数模装换模块。
同时在设计上采用智能化、人性化的思路,使该系统具有了良好的显示效果和简便的操作。
设计思路如下:1.在编程语言的选择上,充分考虑了软件编程的灵活性。
所以本设计采用C语言作为编程语言。
简易多功能信号发生器
(8)电气规则检查,排除错误。 (9)建立电路的网络表Design\Create Netlist,核对元 件、封装及网络是否正确。 (10)使用Reports/Bill of Material制作元器件报表。 当外接电容C可由两个恒流源充电和放电,电压比较器Ⅰ、 Ⅱ的阀值分别为总电源电压(指+Vcc、-VEE)的2/3和1/3。 恒流源I2和I1的大小可通过外接电阻调节,但必须I2>I1。 当触发器的输出为低电平时,恒流源I2断开,恒流源I1给C 充电,它的两端电压UC随时间线性上升,当达到电源电压的 确2/3时,电压比较器I的输出电压发生跳变,使触发器输 出由低电平变为高电平,恒流源I2接通,由于I2>I1(设 I2=2I1),I2将加到C上进行反充电,相当于C由一个净电流 I放电,C两端的电压UC又转为直线下降。当它下降到电源电 压的1/3时,电压比较器Ⅱ输出电压便发生跳变,使触发器 输出为方波,经反相缓冲器由引脚9输出方波信号。C上的 电压UC,上升与下降时间相等(呈三角形),经电压跟随器 从引脚3输出三角波信号。
简易多功能信号发生器的电路设计
作者:*** 专业:过程装备与控制工程 班级:08本(一) 指导老师:**
论文的结构框架
一、课题的研究背景与意义 二、多功能信号发生器设计方案的制定 三、设计的原理及方案执行 四、多功能信号发生器的制作与调试 五、总结
一、课题的研究背景与意义
研究背景
20世纪70年代微处理器的问世,信号发生器也是向 自动化、智能化方向发展。低频信号发生器常常用 于科学实践,工程,教育和生产,如工业过程控制 的教学实验,机械振动试验,动态分析,材料测试 以及生物医学领域。在我们的日常生活和一些科研 领域,锯齿波、正弦波、方波信号是常用的测试信 号。 进入二十一世纪,随着集成电路技术的飞速发展, 出现了好多工作频率过 GHz的DDS 芯片,这也推动 了函数波形发生器发展。
开题报告(简易多功能信号发生器)
枣庄学院本科生毕业设计(论文)开题报告(20**届)简易多功能信号发生器的电路设计姓名:***学号:20080613****专业:过程装备与控制工程班级:2008级本科(*)班学院:机电工程学院指导老师:**20**年2月25日一、研究的目的与意义信号发生器作为科学实验必不可少的装置,被广泛地应用到教学、科研等各个领域。
高等学校特别是理工科的教学、科研需要大量的仪器设备,例如信号源、示波器等,常用仪器都必须配置多套,但是有些仪器设备价格昂贵,如果按照传统模式新建或者改造实验室投资巨大,造成许多学校仪器设备缺乏或过时陈旧,严重影响教学科研。
如果运用虚拟仪器技术构建系统,代替常规仪器、仪表,不但可以满足实验教学的需要、节约大量的经费、降低实验室建设的成本,而且能够提高教学科研的质量与效率。
目前我国经济开始进入一个新的发展时期,经济的快速发展将加快企业的技术改造步伐,各行业特别是电子、通信行业对先进任意波发生器的需求更加强劲。
高档台式仪器加工工艺复杂,对制造水平要求高,生产突破有困难,而采用虚拟技术后,就可通过只采购适合自己应用情况的通用仪器硬件,依靠虚拟仪器软件开发平台,设计出所需的高性能价格比的仪器系统。
虚拟仪器是在以通用计算机为核心的硬件平台上,由用户自己设计定义,具有虚拟面板,测试功能由测试软件实现的一种计算机仪器系统。
虚拟仪器是以计算机为基础,配以相应测试功能的硬件作为信号输入输出的接口,利用虚拟仪器软件开发平台在计算机的屏幕上虚拟出仪器的面板,人们通过鼠标或键盘操作虚拟仪器面板上的旋钮、开关和按键,去选用仪器功能,设置各种工作参数,启动或停止一台仪器的工作。
它能够实现普通仪器的全部功能,如示波器、逻辑分析仪、信号发生器、频谱分析仪等,也能够实现一些在普通仪器上无法实现的功能。
这种方式不但让用户享用到通用 PC 机不断升级的性能,还可体会到完全自定义的测量系统功能的灵活性,最终构建起满足特定需求的系统。
多功能函数信号发生器
课程设计报告书——多功能函数信号发生器多功能函数发生器——设计报告一、设计任务1、题目�多功能函数发生器2、设计要求�1�设计一个能产生正弦波、矩形波、三角波、锯齿波的电路�要求波形的频率在一定范围内可调�矩形波占空比在一定范围内可调��2�用数码管显示波形频率��3�用中、小规模集成电路�双列直插式�组件和阻容元件实现所选定的电路。
�4�在计算机上用 Multisim 仿真优化。
�5�在模拟实验装置和逻辑实验箱上安装、调试。
3、主要技术指标�1�频率范围�150~300Hz�连续可调。
�2�矩形波占空比�30%~60%�连续可调。
�3�输出电压�矩形波U P-P≤ 12V�三角波U P-P≤12V�正弦波U P-P≥1V。
�4�由三个数码管实时显示输出频率。
�5�波形特性��略��6�负载能力� 50 欧�5 伏二、仪器与器件1、仪器1)直流稳压电源 1 台2)示波器 1 台3)万用表 1 台4)模拟实验装置 1 台5)数字实验箱 1 台2、器件1)四运放LM324 1 块2)2-5-10 进制计数器 74LS903块3)四位寄存器74LS194 3 块4)四2输入与非门74LS00 1 块5)555 定时器 1 块6)二极管、稳压管若干7)电位器、电阻器、电容器若干三、设计思路1、系统简要框图计数器寄存器译码锁存显示函数发生器秒脉冲发生2、单元电路设计�1�函数发生器用模拟电路实现。
多种方案�在模拟电路或数字电路中�能产生方波信号的电路很多。
如由运算放大器组成的滞回比较器、门电路或555定时器组成的多谐振荡器。
而方波信号经积分电路就可以方便地形成三角波或锯齿波信号。
典型的电路是由两个运算放大器构成的方波-三角波发生器。
而正弦波信号的产生可以采用波形转换的方式�利用低通滤波器或比例系数可调的比例运算电路将三角波信号转换为正弦波信号�也可以应用在模拟电路中的正弦波振荡器产生。
根据实验室条件和对基础知识的应用能力�函数发生器可以采用以下两种方法实现。
毕业设计(论文)-简易多功能信号发生器设计
1 绪论简易多功能信号发生器是信号发生器的一种,在生产实践和科研领域中有着广泛的应使用。
在研制、生产、测试和维修各种电子元件、部件以及整机设备时,都需要有信号源,由它产生不同频率不同波形的电压、电流信号并加到被测器件或设备上,使用其他仪器观察、测量被测仪器的输出响应,以分析确定它们的性能参数。
信号发生器是电子测量领域中最基本、应使用最广泛的一类电子仪器。
它可以产生多种波形信号,如正弦波,三角波,方波和锯齿波等,因而广泛使用于通信、雷达、导航、宇航等领域。
在本设计中它能够产生多种波形,如正弦波,三角波,方波和锯齿波等,并能实现对各种波频率和幅度的改变。
正因为其在生活中应使用的重要性,人们它做了大量的研究,总结出了许多实现方式。
可以基于FPGA 、VHDL、单片机、DOS 技能、数字电路等多种方法实现。
本设计是采使用VHDL来实现的简易多功能信号发生器。
它能产生正弦波,三角波,方波和锯齿波。
且对各种波形的要求如下:(1)根据按键选择不同的波形(实现正弦波,三角波,方波和锯齿波);(2)各波形的频率范围为100Hz-20KHz;(3)各波形频率可调(通过按键控制频率的变化,步进值为500Hz);(4)使使用LED数码管实时显示输出信号波形的频率值;(5)使用按键控制实现输出信号的幅度调节(幅度调节为2.5V和5V)。
2 EDA技术介绍2.1EDA介绍EDA是电子设计自动化(Electronic Design AutoMation)缩写。
EDA技术是以计算机为工具,根据硬件描述语言HDL( Hardware Description language)完成的设计文件,自动地完成逻辑编译、化简、分割、综合及优化、布局布线、仿真以及对于特定目标芯片的适配编译和编程下载等工作。
硬件描述语言HDL 是相对于一般的计算机软件语言,如:C、PASCAL而言的。
HDL语言使使用与设计硬件电子系统的计算机语言,它能描述电子系统的逻辑功能、电路结构和连接方式。
模电课程设计简易信号发生器报告
模电课程设计-简易信号发生器报告模电课程设计报告电子系课题名称:简易信号发生器设计专业名称:电子信息科学与技术学生班级:10电信科技师范2班第一章设计的目的及任务1.1 设计目的1.11掌握电子系统的一般设计方法1.12掌握模拟IC器件的应用1.13培养综合应用所学知识来指导实践的能力1.14掌握常用元器件的识别和测试1.15 熟悉常用仪表,了解电路调试的基本方法1.2设计任务设计正弦波函数信号发生器1.3课程设计的要求及技术指标1.31设计、组装、调试函数发生器1.32输出波形:正弦波;1.33频率范围:20Hz~20KHz;1.34输出电压:不小于1V有效值1.35失真度:γ<= 5%第二章函数发生器的总方案及原理框图2.1 原理框图图2-12.2 函数发生器的总方案函数发生器一般是指能自动产生正弦波、三角波、方波及锯齿波、阶梯波等电压波形的电路或仪器。
根据用途不同,有产生三种或多种波形的函数发生器,使用的器件可以是分立器件,也可以采用集成电路。
为进一步掌握电路的基本理论及实验调试技术,本课题采用由集成运算放大器与RC桥式正弦波振荡器共同组成的正弦波函数发生器的设计方法。
本课题中函数发生器电路组成如下所示:采用RC选频网络构成的振荡电路称为RC振荡电路,它适用于低频振荡,一般用于产生1Hz~1MHz的低频信号。
因为对于RC振荡电路来说,增大电阻R即可降低振荡频率,而增大电阻是无需增加成本的。
放大电路是一种直接耦合的多级放大电路,用于将产生的正弦波幅值放大。
第三章元器件明细清单元器件明细清单如下名称参数数量电阻7.5k2电阻560k1电阻 4.7k1电阻 5.1k 2电阻24k2电阻 3.3k3电阻1k 2可变电阻100k2电容1042电容1032电容1022电解电容10uf4电解电容47uf1三极管npn3第四章单元电路设计3.1正弦波发生电路的工作原理正弦波振荡电路是一种选频网络和正反馈网络的放大电路。
多功能函数信号发生器-开题报告
一、研究目的与意义研究目的与意义:函数信号发生器是信号源的一种,主要给被测电路提供需要的已知信号,然后同其他仪表测量感兴趣的参数。
它不是测量电路,而是根据使用者的要求作为激励源,仿真各种测量信号,提供给被测电路,以满足测量或各种实际需要。
目前我国在研究信号发生器方面有可喜的成就。
但总的来说,我国信号发生器没有形成真正的产业。
中国函数发生器产业发展中出现的问题中,如产业结果不合理、产业集中于劳动力密集型产品;技术密集型产品明显落后于发达工业国家;生产要素决定性作用正在削弱;产业能量消耗大、产出率低、环境污染严重、对自然资源破坏力大;企业总体规模偏小、技术创新能力薄弱、管理水平落后等。
就目前国内的成熟产品来看,核心部分存在成本高、控制不方便、创新能力小等缺点,因此和国外相比技术存在比较大的差距,所以开发出高性价比的函数发生器,从而与国外技术有所比拼,并且打破国外技术垄断,对目前我国发展中的电子业来说,是具有刻不容缓的作用的。
随着电子技术的发展,电路测试对信号发生器的要求已经越来越高。
除生成标准波形如正弦波、方波、三角波、脉冲波之外,信号发生器还要用于模拟输出一些不规则信号,以生成“实际环境”信号,包括在被测设备离开实验室或车间时可能遇到的毛刺、漂移、噪声和其它异常事件等。
所有这些都要求信号发生器输出信号的参数如频率、波形、输出电压或功率等,能够在一定范围内进行更加精确的调整,并拥有更好的稳定性及输出指示。
目前市场上常见的信号发生器,按照价格与适用性大致可以分成高、中、低端,但由于品牌、型号冗繁,使用者在采购过程中面临很大难题。
低端产品:DDS技术提高产品适用性通常价位在5,000元上下的信号发生器都是定位在普及水平的低端产品,这类产品由于性能指标的限制,多应用于教育和培训,常见如下图1-1所示:普源精仪的DG1000系列、石家庄无线电四厂的TF G2000系列、南京盛普的SPF05/SPF10和台湾固纬的SFG-830。
多功能信号发生器课程设计报告
河南理工大学《单片机应用与仿真训练》设计报告多功能信号发生器设计姓名:张冬波张立中学号:310808010425 310808010426专业班级:电气08-4指导老师:刘巍所在学院:电气工程与自动化学院2011年6月28 日摘要本设计采用基于AT89S52的单片机最小系统为核心,成功产生出幅值和频率都可调的正弦波、梯形波、方波、三角波等波形。
频率范围是0-2000Hz,幅值调节范围-10V到+10V。
本系统主要由四大模块组成:液晶显示模块、波形发生模块及稳幅输出模块,幅频调节模块、及外部电源模块。
各个模块的实现方法如下:一、液晶显示模块:本系统采用应用较广泛的1602液晶作为显示模块。
其显示与控制机理是单片机通过与液晶按照一定的规定相连接,然后再程序中在对液晶进行初始化后,就可以向其写字符或读字符。
二、波形发生模块及稳幅输出模块:产生指定波形可以通过DAC芯片来实现,不同波形产生实质上是对输出的二进制数字量进行相应改变来实现的。
本系统采用的是经典的DAC0832 8位数/模转换器。
稳幅输出则通过两个LM324集成运放来实现对DAC0832输出电流信号到电压信号的转变。
三、幅频调节模块:通过按键与两个门电路74ls00和74ls04的组合来实现通过产生中断来实现对波形的选择和频率的调节。
而幅值调节通过一个10K的电位器来实现参考电压Vref的改变来改变幅值。
四、外部电源模块:变压器将220V交流电降成16V交流后在通过整流桥经过7812和7912滤波后即产生正负12V直流电用作LM324的电源。
本系统软件主要通过C语言开发,硬件电路设计具有典型性。
同时,本系统中任何一部分电路模块均可移植于其它实用开发系统的设计中,电路设计实用性很强。
目录1、概述 (4)1.1 信号发生器现状 (4)1.2 单片机在波形发生器中的应用 (4)2、系统总体方案及硬件设计 (5)2.1 系统分析 (5)2.2 总体方案设计 (6)2.2.1系统总体结构框图设计 (6)2.3 总体硬件设计 (6)2.4系统各模块设计 (7)2.4.1 资源分配 (7)2.4.2显示器接口设计 (7)2.4.3 复位与时钟电路设计 (8)2.4.4 按键中断电路设计 (10)2.4.5 D/A转换电路设计 (10)3、软件设计 (15)3.1软件总体设计 (15)3.2 软件功能设计 (16)3.2.1系统初始化程序设计 (16)3.2.2 按键检测及中断处理程序 (16)3.2.3 液晶显示程序 (17)3.2.4 正弦波发生程序设计 (19)3.2.5方波产生程序 (20)3.2.6三角波产生程序 (20)3.2.7梯形波产生程序 (21)4、实验仿真 (22)4.1 protues软件仿真步骤 (22)4.2 仿真结果 (23)4.3仿真结论 (25)5、课程设计体会 (26)参考文献 (27)附1:源程序代码 (28)附2:系统原理图 (35)1、概述1.1 信号发生器现状. 目前,市场上的信号发生器多种多样,一般按频带分为超高频、高频、低频、超低频、超高频信号发生器。
多功能信号发生器报告
文档来源为:从网络收集整理.word版本可编辑.欢迎下载支持.信息与控制工程学院硬件课程设计说明书多功能信号发生器设计学生学号:学生姓名:专业班级:计算0701指导教师:刘刚职称:副教授起止日期:2010.04.05~2010.04.23吉林化工学院Jilin Institute of Chemical Technology1文档来源为:从网络收集整理.word版本可编辑.文档来源为:从网络收集整理.word版本可编辑.欢迎下载支持.课程设计任务书一、设计题目:多功能信号发生器设计二、设计目的:1.学习MSP430F169单片机硬件结构及其程序设计方法,MAX038器件使用方法。
2.掌握MSP430F169系统的设计方法。
3.掌握SPWM信号发生器设计方法。
4.掌握正弦波、三角波信号产生方法。
5.掌握直流稳压电源设计方法。
三、设计任务及要求1.学习MSP430F169单片机等器件的工作原理及各引脚的说明,掌握MSP430F169单片机系统的工作原理和设计方法。
利用MSP430F169等元器件完成单片机系统设计和焊装、调试。
2.使用MAX038芯片实现正弦、三角波信号产生器和单片机测频电路、程序设计。
3.完成基于MSP430F169单片机的SPWM程序设计和SPWM驱动电路硬件焊装、调试。
四、设计时间及进度安排:设计时间共三周(2010.04.06~2010.04.24),具体安排如下表:I文档来源为:从网络收集整理.word版本可编辑.文档来源为:从网络收集整理.word版本可编辑.欢迎下载支持.目录课程设计任务书 ···················································································错误!未定义书签。
电子电路课程设计报告 多功能信号发生器课程设计报告
《电子电路设计与实践》课程设计报告设计题目:多功能信号发生器所属学院:电子信息工程学院专业:电子设计自动化班级:10级电子设计自动化1班姓名学号:指导教师:完成日期:2012年12月10日目录一.课程设计目的二.设计任务和要求三.设计进度四.总体方案五.电路设计六.调试过程七.心得体会一.课程设计目的为了熟悉掌握电子电路设计与实践这门课程的具体内容、锻炼自己的实际操作能力,特按课程要求设计一个能产生正弦波、方波、三角波的信号发生器。
二.设计任务和要求设计一个能产生正弦波、方波和三角波的信号发生器,要求如下:◆输出频率f=20Hz~5kHz连续可调的正弦波、方波和三角波。
◆输出正弦波幅度V0=0~5V可调,波形非线性失真系数≤5%。
◆输出三角波幅度V0=0~5V可调。
◆输出方波幅度可在0~12V之间可调。
三.设计进度第十周确定课程设计题目;第十一周确定课程设计的总体方案;第十二周设计出电路图,确定各个元器件的型号;第十三周检测各个元器件是否完好;第十四周焊接电路;第十五周调试电路,准备完成课程设计报告。
四.总体方案随着集成制造技术的不断发展,多功能信号发生器已被制作成专用集成电路。
该集成电路使用方便,调试简单,性能稳定,它不仅能产生正弦波,同时还能产生三角波和方波。
5G8038就是其中的一种。
它只需外接很少的几只元件就能实现一个多种波形输出的信号发生器。
该器件产生频率的温度漂移小于50×10-6/℃;正弦波输出失真度小于1%;输出频率范围为0.01Hz~300kHz;方波输出电压幅度为零到外接电源电压。
使用5G8038集成函数发生器设计本任务书下达的技术指标,完全可以满足要求。
五.电路设计1. 5G8038集成函数发生器工作原理图1 ICL8038函数发生器的方框图图1是ICL8038多功能函数发生器的原理框图。
它由一个恒流充放电振荡电路和一个正弦波变换器组成,恒流充放电振荡器产生方波和三角波,三角波经正弦波变换器输出正弦波。
多功能函数信号发生器设计报告
多功能函数信号发生器设计姓 名 学 号 院、系、部 班 号 完成时间※※※※※※※※※※※※※※※ ※※※※※※※※※2013级 模拟电子技术课程设计摘要本次课程的内容是设计一个能产生正弦波、矩形波、三角波的电路的函数信号发生器。
方波与三角波发生器由集成运放电路构成,包括比较器与RC积分器组成。
方波发生器的基本电路由带正反馈的比较器及RC组成的负反馈构成;三角波主要由积分电路产生。
三角波转换为正弦波,则是通过差分电路实现。
该电路振荡频率和幅度便于调节,输出方波幅度大小由稳压管的稳压值决定,方波经积分得到三角波;而正弦波发生电路中两个电位器实现正弦波幅度与电路的对称性调节,实现较理想的正弦波输出波形。
由比较器与积分器组成的方波三角波发生器,比较器输出的方波信号经积分器生成三角波,再经由差分放大器生成正弦波信号。
其中方波三角波生成电路为基本电路,添加电位器调节使其频率幅度改变;正弦波生成电路采用差分放大器,由于差分放大电路具有工作点稳定、输入阻抗高、抗干扰能力较强等优点,特别是作为直流放大器时,可以有效地抑制零点漂移,因此可将频率很低的三角波变换成正弦波。
关键字:函数信号发生器方波三角波正弦波目录第1章设计任务与要求 (1)第2章方案与论证 (1)2.1方波产生的原理 (1)2.2三角波产生的原理 .............................................................................. 错误!未定义书签。
2.3正弦波产生的原理 .............................................................................. 错误!未定义书签。
2.4 总方案的确定 ...................................................................................... 错误!未定义书签。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
枣庄学院
本科生毕业设计(论文)
开题报告
(20**届)
简易多功能信号发生器的电路设计
姓名:***
学号:20080613****
专业:过程装备与控制工程
班级:2008级本科(*)班
学院:机电工程学院
指导老师:**
20**年2月25日
一、研究的目的与意义
信号发生器作为科学实验必不可少的装置,被广泛地应用到教学、科研等各个领域。
高等学校特别是理工科的教学、科研需要大量的仪器设备,例如信号源、示波器等,常用仪器都必须配置多套,但是有些仪器设备价格昂贵,如果按照传统模式新建或者改造实验室投资巨大,造成许多学校仪器设备缺乏或过时陈旧,严重影响教学科研。
如果运用虚拟仪器技术构建系统,代替常规仪器、仪表,不但可以满足实验教学的需要、节约大量的经费、降低实验室建设的成本,而且能够提高教学科研的质量与效率。
目前我国经济开始进入一个新的发展时期,经济的快速发展将加快企业的技术改造步伐,各行业特别是电子、通信行业对先进任意波发生器的需求更加强劲。
高档台式仪器加工工艺复杂,对制造水平要求高,生产突破有困难,而采用虚拟技术后,就可通过只采购适合自己应用情况的通用仪器硬件,依靠虚拟仪器软件开发平台,设计出所需的高性能价格比的仪器系统。
虚拟仪器是在以通用计算机为核心的硬件平台上,由用户自己设计定义,具有虚拟面板,测试功能由测试软件实现的一种计算机仪器系统。
虚拟仪器是以计算机为基础,配以相应测试功能的硬件作为信号输入输出的接口,利用虚拟仪器软件开发平台在计算机的屏幕上虚拟出仪器的面板,人们通过鼠标或键盘操作虚拟仪器面板上的旋钮、开关和按键,去选用仪器功能,设置各种工作参数,启动或停止一台仪器的工作。
它能够实现普通仪器的全部功能,如示波器、逻辑分析仪、信号发生器、频谱分析仪等,也能够实现一些在普通仪器上无法实现的功能。
这种方式不但让用户享用到通用 PC 机不断升级的性能,还可体会到完全自定义的测量系统功能的灵活性,最终构建起满足特定需求的系统。
虚拟仪器是测试技术与计算机深层次结合的产物,其实质是利用最新的计算机技术来实现和扩展传统仪器的功能。
虚拟仪器应用软件是整个系统的关键。
因此,从某种意义上可以说:软件就是仪器。
与传统的仪器相比,虚拟仪器更通用、更灵活、更经济,而且更能适应当代科学技术对测量仪器不断提出的更新、扩展功能和性能的要求。
二、国内外的研究状况
波形发生器亦称函数发生器,作为实验用信号源,是现今各种电子电路实验设计应用中必不可少的仪器设备之一。
目前,市场上常见的波形发生器多为纯硬件的搭接而成,且波形种类有限,多为锯齿、正弦、方波、三角等波形。
信号发生器作为一种常见的应用电子仪器设备,传统的可以完全由硬件电路搭接而成,如采用 555 振荡电路发生正弦波、三角波和方波的电路便是可取的路径之一,不用依靠单片机。
但是这种电路存在波形质量差,控制难,可调范围小,电路复杂和体积大等缺点。
在科学研究和生产实践中,如工业过程控制,生物医学,地震模拟机械振动等领域常常要用到低频信号源。
而由硬件电路构成的低频信号其性能难以令人满意,而且由于低频信号源所需的 RC 很大;大电阻,大电容在制作上有困难,参数的精度亦难以保证;体积大,漏电,损耗显著更是其致命的弱点。
一旦工作需求功能有增加,则电路复杂程度会大大增加。
波形发生器是能够产生大量的标准信号和用户定义信号,并保证高精度、高稳定性、可重复性和易操作性的电子仪器。
函数波形发生器具有连续的相位变换、和频率稳定性等优点,不仅可以模拟各种复杂信号,还可对频率、幅值、相移、波形进行动态、及时的控制,并能够与其它仪器进行通讯,组成自动测试系统,因此被广泛用于自动控制系统、震动激励、通讯和仪器仪表领域。
在 70 年代前,信号发生器主要有两类:正弦波和脉冲波,而函数发生器介于两类之间,能够提供正弦波、余弦波、方波、三角波、上弦波等几种常用标准波形,产生其它波形时,
需要采用较复杂的电路和机电结合的方法。
这个时期的波形发生器多采用模拟电子技术,而且模拟器件构成的电路存在着尺寸大、价格贵、功耗大等缺点,并且要产生较为复杂的信号波形,则电路结构非常复杂。
同时,主要表现为两个突出问题,一是通过电位器的调节来实现输出频率的调节,因此很难将频率调到某一固定值;二是脉冲的占空比不可调节。
在 70 年代后,微处理器的出现,可以利用处理器、A/D/和 D/A,硬件和软件使波形发生器的功能扩大,产生更加复杂的波形。
这时期的波形发生器多以软件为主,实质是采用微处理器对 DAC 的程序控制,就可以得到各种简单的波形。
90 年代末,出现几种真正高性能、高价格的函数发生器、但是 HP 公司推出了型号为 HP770S 的信号模拟装置系统,它由 HP8770A 任意波形数字化和 HP1776A 波形发生软件组成。
HP8770A 实际上也只能产生 8 中波形,而且价格昂贵。
不久以后,Analogic 公司推出了型号为 Data-2020 的多波形合成器,Lecr oy 公司生产的型号为 9100 的任意波形发生器等。
而近几年来,国际上波形发生器技术发展主要体现在以下几个方面:(1)过去由于频率很低应用的范围比较狭小,输出波形频率的提高,使得波形发生器能应用于越来越广的领域。
波形发生器软件的开发正使波形数据的输入变得更加方便和容易。
波形发生器通常允许用一系列的点、直线和固定的函数段把波形数据存入存储器。
同时可以利用一种强有力的数学方程输入方式,复杂的波形可以由几个比较简单的公式复合成 v=f (t)形式的波形方程的数学表达式产生。
目前可以利用可视化编程语言(如 Visual Basic ,Visual C 等等)编写任意波形发生器的软面板,这样允许从计算机显示屏上输入任意波形,来实现波形的输入。
波形发生器由独立的台式仪器和适用于个人计算机的插卡以及新近开发的 VXI 模块。
由于 VXI 总线的逐渐成熟和对测量仪器的高要求,在很多领域需要使用 VXI 系统测量产生复杂的波形,VXI 的系统资源提供了明显的优越性,但由于开发 VXI 模块的周期长,而且需要专门的 VXI 机箱的配套使用,使得波形发生器 VXI 模块仅限于航空、军事及国防等大型领域。
在民用方面,VXI 模块远远不如台式仪器更为方便。
随着信息技术蓬勃发展,台式仪器在走了一段下坡路之后,又重新繁荣起来。
不过现在新的台式仪器的形态,和几年前的己有很大的不同。
这些新一代台式仪器具有多种特性,可以执行多种功能。
而且外形尺寸与价格,都比过去的类似产品减少了一半。
三、设计方案与预期目标
该系统采用单片机作为人机接口。
单片机读取键盘输入数据以及控制数码管的显示。
用户通过键盘输入希望得到的信号频率、幅度、以及波形种类。
单片机获得这些数据后计算出控制字写入 FPGA 模块。
通过接收单片机发出的选择,接口模块将频率控制字,波形控制字和幅度控制字分别传送给相位累加器、波形存储器和 DAC 控制模块。
每次系统时钟到来时,相位累加器就增加一个频率控制字长度的相位增量,当相位累加器累加满量时就会产生一次溢出,完成一个周期性的动作。
同时累加器输出作为对波形存储器寻址的低位地址,而波形控制字直接作为高位地址。
波形存储器输出相应的地址中存储的波形数据。
幅度控制字和波形数据输出传送给 DAC 控制模块,该模块输出幅度控制字或者波形数据给TLC7528。
再经过低通滤波处理及功率放大即可得到模拟的波形。
四、所需设备和仪器
1)8 位 LED 数码管
2)ZLG7289 键盘显示芯片
3)4x4 键盘输入
4)AT89C51 单片机
5)波形存储器 ROM
6)TLC7528 数模转换芯片
7)RC 低通滤波器
8)功率放大芯片 TDA2030A
五、课题进度计划
1、3-5周:熟悉设计任务,查阅相关资料文献,制定设计方案做出开题报告
2、5-7周:审核开题报告和设计方案
3、7-11周:设计原理电路,绘制电路图,并进行仿真调试
4、11-13周;完成论文,交由指导老师审核
5、13-15周:修改论文
6、15-17周:整理并制作课件,做论文答辩
六、参考文献
李萍.AT89S51 单片机原理、开发与应用实例.中国电力出版社,2008
李荣正等.PIC 单片机原理及应用(第三版).北京航空航天大学出版社,2006
林伸茂.8051 单片机彻底研究实习篇.中国电力出版社,2007
李建忠.单片机原理及应用.西安电子科技大学出版社,2008
徐阳,钟宝荣.基于单片机的低频信号发生器设计.长江大学学报,2008
王玮.51 单片机与 PC 机串行通信接口的设计.硅谷,2009
朱清慧.Proteus:电子技术虚拟实验室.中国水利水电出版社,2010
楼然苗,胡佳文,李光飞.单片机实验与课程设计:Proteus 仿真版.浙江大学出版社,2010 周润景,张丽娜,刘映群.PROTEUS 入门实用教程.机械工业出版社,2007
袁涛.单片机 C 高级语言程序设计及其应用.北京航空航天大学出版社,2001
李全利.单片机原理及应用[M].清华大学出版社,2007
何立民.单片机高级教程:应用与设计[M].北京航空航天大学出版社,2000。