东华理工大学EDA期末试题
EDA期末考试试卷及答案
一、单项选择题(30分,每题2分)
1.以下关于适配描述错误的是 B
A.适配器的功能是将综合器产生的网表文件配置于指定的目标器件中,使之产生最终的下载文件
B.适配所选定的目标器件可以不属于原综合器指定的目标器件系列
C.适配完成后可以利用适配所产生的仿真文件作精确的时序仿真D.通常,EDAL软件中的综合器可由专业的第三方EDA公司提供,而适配器则需由FPGA/CPLD供应商提供
2.VHDL语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,结构体描述 D 。
A.器件外部特性B.器件的综合约束
C.器件外部特性与内部功能D.器件的内部功能3.下列标识符中, B 是不合法的标识符。
A.State0 B.9moon C.Not_Ack_0 D.signall 4.以下工具中属于FPGA/CPLD集成化开发工具的是 D A.ModelSim B.Synplify Pro
C.MA TLAB D.QuartusII
5.进程中的变量赋值语句,其变量更新是 A 。
A.立即完成B.按顺序完成
C.在进程的最后完成D.都不对
6.以下关于CASE语句描述中错误的是 A
A.CASE语句执行中可以不必选中所列条件名的一条
B.除非所有条件句的选择值能完整覆盖CASE语句中表达式的取值,否则最末一个条件句的选择必须加上最后一句“WHEN
OTHERS=><顺序语句>”
C.CASE语句中的选择值只能出现一次
D.WHEN条件句中的选择值或标识符所代表的值必须在表达式的取值范围
7.以下哪个程序包是数字系统设计中最重要最常用的程序包 B A.STD_LOGIC_ARITH
EDA期末必考选择题及答案
老师发话了。EDA考试题目:大题第一题考奇数分频,名称解释考:SOPC,期中考的TTL和coms的连接方式不考大题第一题考奇数分频,名称解释考:SOPC,期中考的TTL和coms的连接方式不考
1、IP核在EDA技术和开发中具有十分重要的地位;提供用VHDL等硬件描述语言描述的功能块,但不涉及实现该功能块的具体电路的IP核为____A___。
A .软IP B.固IP C.硬IP D.都不是
2、综合是EDA设计流程的关键步骤,在下面对综合的描述中,___D_是错误的。
A.综合就是把抽象设计层次中的一种表示转化成另一种表示的过程;
B.综合就是将电路的高级语言转化成低级的,可与FPGA / CPLD的基本结构相映射的网表文件;
C.为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束;
D.综合可理解为,将软件描述与给定的硬件结构用电路网表文件表示的映射过程,并且这种映射关系是唯一的(即综合结果是唯一的)。
3、大规模可编程器件主要有FPGA、CPLD两类,下列对FPGA结构与工作原理的描述中,正确的是_C。
A.FPGA是基于乘积项结构的可编程逻辑器件;
B.FPGA是全称为复杂可编程逻辑器件;
C.基于SRAM的FPGA器件,在每次上电后必须进行一次配置;
D.在Altera公司生产的器件中,MAX7000系列属FPGA结构。
4、进程中的变量赋值语句,其变量更新是___A__。
A.立即完成;
B.按顺序完成;
C.在进程的最后完成;
D.都不对。
5、VHDL语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,结构体描述__D__。
电子设计自动化(eda)期末考试试题及答案
三、改错;找到5处错误并改正(10分)
LIBRARY IEEE ;
USE IEEE。STD_LOGIC_1164。ALL ;
ENTITY CNT4 IS
PORT (CLK :IN STD_LOGIC ;
Q : OUT STD_LOGIC_VECTOR(3 DOWNTO 0) )END ;
ARCHITECTURE bhv OF CNT IS
SIGNAL Q1 : STD_LOGIC_VECTOR(3 DOWNTO 0);
BEGIN
PROCESS (CLK)
BEGIN
IF CLK'EVENT AND CLK THEN
Q1 〈= Q1 + 1 ;
END PROCESS ;
Q 〈= Q1 ;
END bhv;
四、设计,要求写出完整的vhdl代码。(65分)
1、16位硬件加法器,要求有进位输入和进位输出.(15分)
2、七段数码管译码显示电路设计(数码管共阳极接法)(12分)
要求输入BCD码,输出驱动数码管显示0到9
3、十进制加法计数器,要求有复位功能.(13分)
4、上升沿触发的D触发器,要求用三种方式描述,实体可只写一个。(15分)
B : IN STD_LOGIC_VECTOR(15 DOWNTO 0) ;
S : OUT STD_LOGIC_VECTOR(15 DOWNTO 0) ;
COUT : OUT STD_LOGIC ); END ADDER4B ;
ARCHITECTURE behav OF ADDER16 IS
SIGNAL SINT : STD_LOGIC_VECTOR(16 DOWNTO 0) ;
EDA(FPGA)期末考试试题
这是长期总结的EDA期末考试试题
试题一
1—2与软件描述语言相比,VHDL有什么特点? P6
答:编译器将软件程序翻译成基于某种特定CPU的机器代码,这种代码仅限于这种CPU而不能移植,并且机器代码不代表硬件结构,更不能改变CPU的硬件结构,只能被动地为其特定的硬件电路结构所利用.综合器将VHDL程序转化的目标是底层的电路结构网表文件,这种满足VHDL设计程序功能描述的电路结构,不依赖于任何特定硬件环境;具有相对独立性。综合器在将VHDL(硬件描述语言)表达的电路功能转化成具体的电路结构网表过程中,具有明显的能动性和创造性,它不是机械的一一对应式的“翻译”,而是根据设计库、工艺库以及预先设置的各类约束条件,选择最优的方式完成电路结构的设计。
l—3什么是综合?有哪些类型?综合在电子设计自动化中的地位是什么? P5
什么是综合?答:在电子设计领域中综合的概念可以表示为:将用行为和功能层次表达的电子系统转换为低层次的便于具体实现的模块组合装配的过程。
有哪些类型?
答:(1)从自然语言转换到VHDL语言算法表示,即自然语言综合。
(2)从算法表示转换到寄存器传输级(RegisterTransport Level,RTL),即从行为域到结构域的综合,即行为综合.
(3)从RTL级表示转换到逻辑门(包括触发器)的表示,即逻辑综合。
(4)从逻辑门表示转换到版图表示(ASIC设计),或转换到FPGA的配置网表文件,可称为版图综合或结构综合。
综合在电子设计自动化中的地位是什么?答:是核心地位(见图1-3)。综合器具有更复杂的工作环境,综合器在接受VHDL程序并准备对其综合前,必须获得与最终实现设计电路硬件特征相关的工艺库信息,以及获得优化综合的诸多约束条件信息;根据工艺库和约束条件信息,将VHDL程序转化成电路实现的相关信息。
EDA期末考试题大全
附带:
一.问答题
1信号赋值语句在什么情况下作为并行语句?在什么情况下作顺序语句?信号赋值和变量赋值符号分别是什么?两种赋值符号有什么区别?
●信号赋值语句在进程外作并行语句,并发执行,与语句所处的位置无关.信号赋值语句在进程内或
子程序内做顺序语句,按顺序执行,与语句所处的位置有关。
●信号赋值符号为“〈="变量赋值用“:=”。信号赋值符号用于信号赋值动作,不立即生效。
变量,赋值符号用于变量赋值动作,立即生效.
2进程的敏感信号表指的是什么?简述敏感信号表在进程中的作用?
●进程的“敏感信号表”也称敏感表,是进程的激活条件,可由一个或多个信号组成,各信号
间以“,”号分隔。当敏感信号表中的任一个信号有事件发生,即发生任意变化,此时,进程被激活,进程中的语句将从上到下逐句执行一遍,当最后一条语句执行完毕之后,进程即进入等待挂起状态,直到下一次敏感表中的信号有事件发生,进程再次被激活,如此循环往复。
3什么是库、程序包、子程序、过程调用和函数调用?
●库和程序包用来描述和保存元件、类型说明和子程序等,以便在其它设计中通过其目录可查询、调
用。子程序由过程和函数组成。在子程序调用过程中,过程能返回多个变量,函数只能返回一个变量.若子程序调用的是一个过程,就称为过程调用,若子程序调用的是一个函数,则称为函数调用。
过程调用、函数调用都是子程序调用。
二.改错题
1。已知sel为STD_LOGIC_VECTOR(1 DOWNTO 0)类型的信号,而a、b、c、d、q均为STD_LOGIC类型的信号,请判断下面给出的CASE语句程序片段:
(完整word版)EDA技术与VHDL期末考试试卷
EDA技术与VHDL期末考试试卷
一、单项选择题:(20分)
1.IP核在EDA技术和开发中具有十分重要的地位;提供用VHDL等硬件描述语言描述的功能块,但不涉及实现该功能块的具体电路的IP核为__________。D
A .瘦IP B。固IP C。胖IP D.都不是
2.综合是EDA设计流程的关键步骤,在下面对综合的描述中,_________是错误的。D
A. 综合就是把抽象设计层次中的一种表示转化成另一种表示的过程;
B. 综合就是将电路的高级语言转化成低级的,可与FPGA / CPLD的基本结构相映射的网表文件;
C。为实现系统的速度、面积、性能的要求,需要对综合加以约束,称为综合约束;
D. 综合可理解为一种映射过程,并且这种映射关系是唯一的,即综合结果是唯一的。
3.大规模可编程器件主要有FPGA、CPLD两类,下列对FPGA结构与工作原理的描述中,正确的是__C__。
A。FPGA全称为复杂可编程逻辑器件;
B. FPGA是基于乘积项结构的可编程逻辑器件;
C。基于SRAM的FPGA器件,在每次上电后必须进行一次配置;
D. 在Altera公司生产的器件中,MAX7000系列属FPGA结构。
4.进程中的信号赋值语句,其信号更新是___C____。
A。按顺序完成;
B. 比变量更快完成;
C。在进程的最后完成;
D。都不对。5.VHDL语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,结构体描述___________。B
A. 器件外部特性;
B。器件的内部功能;
C. 器件的综合约束;
2022年东华理工大学软件工程专业《计算机系统结构》科目期末试卷B(有答案)
2022年东华理工大学软件工程专业《计算机系统结构》科目期末试卷
B(有答案)
一、选择题
1、在操作系统机器级,一般用()程序()作业控制语句。
A.汇编程序,翻译
B.汇编程序,解释
C.机器语言,解释
D.机器语言,翻译
2、下列关于标量流水机的说法不正确的是()
A.可对标量数据进行流水处理
B.没有向量数据表示
C.不能对向量数据进行运算
D.可以对向量、数组进行运算
3、对汇编语言程序员透明的是()
A.I/O方式中的DMA访问
B.浮点数据表示
C.访问方式保护
D.程序性中断
4、计算机中优化使用的操作码编码方法是( )。(书上为扩展编码法)
A哈夫曼编码
B ASCII码
C BCD码
D扩展操作码
5、计算机组成设计不考虑()
A.专用部件设置
B.功能部件的集成度
C.控制机构的组成
D.缓冲技术
6、以下说法不正确的是( )
A.线性流水线是单功能流水线
B.动态流水线是多功能流水线
C.静态流水线是多功能流水线
D.动态流水线只能是单功能流水线
7、浮点数尾数下溢处理时,最大误差最大,但下溢处理不需要时间,平均误差又趋于0的方法是( )。
A.截断法
B.舍入法
C.ROM查表法
D.恒置"1"法
8、若输入流水线的指令既无局部性相关,也不存在全局性相关,则()。
A.可获得高的吞吐率和效率
B.流水线的效率和吞吐率恶化
C.出现瓶颈
D.可靠性提高
9、计算机系统结构不包括()
A.主存速度
B.机器工作状态
C.信息保护
D.数据表示
10、在系统结构设计中,提高软件功能实现的比例会( )。
A.提高解题速度
B.减少需要的存贮容量
C.提高系统的灵活性
EDA技术期末复习题
EDA技术期末复习题
1.结构体中包含了四类功能描述语句:语句、______________语句、子程序调用语句和语句。(P304)
2. 下列关于程序包的用法正确的是: ________ (P319)
A、一个程序包中只能包含常数说明,VHDL数据类型说明,元件定义和子
程序这几种结构之一或他们中的几种
B、程序包首可以独立定义和使用
C、程序包结构中,必须同时含有程序包首和程序包体
D、一个完整的程序包中,程序包首名和程序包体名可以不是同一个名字
3. 参数传递说明语句以关键词引导一个类属参量表,通常在实体中
的位置处于语句之前。(P300-301)
4. 下列逻辑操作符中哪个的优先级最高:_______。
A. AND
B. OR
C. NOT
D. XOR
5. 下列关于操作符说法不正确的是:_______ (P333)
A、关系操作符的操作对象可以是VHDL中的任何数据类型构成的操作数
B、关系操作符的返回值是布尔类型数据
C、MOD和REM的操作数数据类型只能是整数,运算操作结果也是整数。
D、SLL是左移位操作,右边跟进的位补零
6. 下列说法正确的是: _______。(P332)
A、只有BIT型和整型数据可以参与加减运算。
B、操作符是有优先级别的,其中逻辑运算符的级别最低。
C、BIT 、BOOLEAN和STD_LOGIC可以进行逻辑运算
D、a nand b nand c 这串运算可以不加括号
7. 下列语句中,不属于并行语句的是:________
A、进程语句
B、CASE语句
C、元件例化语句
D、WHEN…ELSE…语句
2022年东华理工大学软件工程专业《计算机系统结构》科目期末试卷A(有答案)
2022年东华理工大学软件工程专业《计算机系统结构》科目期末试卷
A(有答案)
一、选择题
1、流水机器对全局性相关的处理不包括( )
A.猜测法
B.提前形成条件码
C.加快短循环程序的执行
D.设置相关专用通路
2、不同系列的机器之间,实现软件移植的途径不包括( )
A.用统一的高级语言
B.用统一的汇编语言
C.模拟
D.仿真
3、下列关于标量流水机的说法不正确的是()
A.可对标量数据进行流水处理
B.没有向量数据表示
C.不能对向量数据进行运算
D.可以对向量、数组进行运算
4、"一次重叠"中消除"指令相关"最好的方法是( )。
A.不准修改指令
B.设相关专用通路
C.推后分析下条指令
D.推后执行下条指令
5、输入输出系统硬件的功能对()是透明的。
A.操作系统程序员
B.应用程序员
C.系统结构设计人员
D.机器语言程序设计员
6、非线性流水线是指( )
A.一次运算中使用流水线中的多个功能段
B.一次运算中要多次使用流水线中的某些功能段
C.流水线中某些功能段在各次运算中的作用不同
D.流水线的各个功能段在各种运算中有不同的组合
7、以下说法不正确的是( )
A.线性流水线是单功能流水线
B.动态流水线是多功能流水线
C.静态流水线是多功能流水线
D.动态流水线只能是单功能流水线
8、开发并行的途径有(),资源重复和资源共享。
A.多计算机系统
B.多道分时
C.分布式处理系统
D.时间重叠
9、与全相联映象相比,组相联映象的优点是( )
A.目录表小
B.块冲突概率低
C.命中率高
D.主存利用率高
10、"从中间开始"设计的"中间"目前多数是在( )。
A.传统机器语言级与操作系统机器级之间
计算机图形学期末考试题及答案东华理工大学
计算机图形学期末考试题及答案东华理工大学1、双绞线一端为TA线序一端为TB线序,称为()。[单选题] *
A交叉线(正确答案)
B交连线
C直通线
D直连线
2、局域网中传输介质简单来说就是网线,由同轴电缆、双绞线及()。[单选题] * A大对数线缆
B光缆(正确答案)
C超五类双绞线
D六类双绞线
3、在基于SNMP 网络管理模型中,管理代理运行在()易[单选题] *
A. 被管理的网络设备中(正确答案)
B. 网管中心主机上
C. 管理信息库中
D. 管理进程中
4、12.下列各组软件中,属于应用软件的一组是()。[单选题] *
A.WindowsXP和管理信息系统
B.Unix和文字处理程序
C.Linux和视频播放系统
D.0ffice 2003和军事指挥程序(正确答案)
5、可从()中获取MAC 地址。中[单选题] *
A.PC 的ROM
B.适配器的ROM(正确答案)
C.DNS 服务器
D.DHCP 服务器
6、TCP/IP 的网络层最重要的协议是()互连网协议。易[单选题] *
A. IP(正确答案)
B. UDP
C. TCP
D. 以上都不是
7、数据链路层的协议数据单元(PDU)是()易[单选题] *
A.比特
B. 帧(正确答案)
C. 分组
D. 报文
8、演示文稿中的每一张演示的单页称为(),它是演示文稿的核心。[单选题] *
A.版式
B.模板
C.母版
9、以太网数据帧最大长度是()。易[单选题] *
A.B(正确答案)
B.B
C.B
D.B
10、当一台计算机发送E-mail 给另外一台计算机时,下列的()正确地描述了数据封装的过程。中[单选题] *
东华理工大学数字信号处理期末试卷
(2)
所以级联型为:
(2)设 , 1)求出其偶函数 和奇函数 的傅里叶变换;2)求 的 变换及收敛域。
解: 1)
2)
(3)求 的反变换。
解:Fra Baidu bibliotek1)部分分式法
(2)长除法
说明:1.试题集中填写(或打印)在方格内,字迹须工整清晰,答题纸另附;2.试题须经教研室或系(部)领导认真审核、签字;3.学生接到试卷后,应先检查是否有缺页,如有及时报告监考老师更换。
东华理工大学2009— 2010学年第二学期
数字信号处理期末考查试题(A2)卷
四、作图题(每题10分)
(1) 时,画出基2—DIT的FFT运算流图(要求输入为倒序,输出为自然序)。并简述其算法特点。
(2)设一因果线性时不变系统的系统函数为:
分别画出系统的直接型、级联型和并联型结构.
解: (1)因为
所以,直接型为:
(3)nx(n)的Z变换结果是-zX(z)。(×)
(4)实信号的傅里叶变换的相位频谱是偶函数。(×)
(5)信号在频域中压缩等效于在时域中扩展。(√)
说明:1.试题集中填写(或打印)在方格内,字迹须工整清晰,答题纸另附;2.试题须经教研室或系(部)领导认真审核、签字;3.学生接到试卷后,应先检查是否有缺页,如有及时报告监考老师更换。
(2)设 ,则 的 为 ; 的 为 。
EDA期末考试试题
第一部分:填空题
1.一般把EDA技术的发展分为CAD、CAE和EDA三个阶段,并向着ESDA方向发展。
2.EDA技术在应用设计领域主要包含哪四个方面的内容(1)HDL (2)PLD
(3)EDA工具软件(4)EDA开发系统。
3.EDA技术的基本特征(1)自顶向下的设计方法;(2)采用硬件描述语言;(3)高层综合和优化;(4)并行工程;(5)开放性和标准化。
4.当前最流行的并成为IEEE标准的硬件描语言是V HDL和Verilog-HDL。
5.什么是PLD?
答: PLD,Programmable-Logic-Device,即可编程逻辑器件。是一种具有内建结构、由用户编程以实现某种逻辑功能的新型逻辑器件。
6.SPLD的基本结构框图是什么?
7.一般CPLD器件至少包含可编程逻辑宏单元,可编程I/O单元和可编程内部连线3种基本结构。
一般FPGA器件至少包含可编程逻辑功能块/CLB、IOB/可编程I/O块和PI/可编程内部互连三类可编程资源。
8.用PROM完成半加器/全加器的示意图。
9.使用方框图示意出采用硬件描述语言设计硬件电路进行由上而下的设计的三个层次为:
10.可编程逻辑器件的发展趋势在哪5个方面(1)向更大规模、更高集成度的片上系统方向发展(2)向低电压、低功耗的绿色器件方向发展(3)向更高速可预测延时的方向发展(4)向在PLD内嵌入多种功能模块的方向发展(5)向模数混合可编程的方向发展11.目前,在PLD器件制造与生产领域的三大公司为Altera、Xilinx和Lattice 12.FPGA的发明者是Xilinx公司;ISP编程技术的发明者是Lattice公司。
华东理工大学EDAFPGA嵌入式应用理论知识复习题
第3部分
理论知识复习题
基本概念
数字电路基础
一、判断题(将判断结果填入括号中。正确的填“√”,错误的填
“×”):
1.数字信号是由连续变化的模拟信号采样得到的。
()
2.要构成5进制计数器,至少需要3个触发器,其无效状态有3
个。()
3.十进制数(25)D转换为二进制数为(11001)B。
()
4.逻辑变量只有两个值,即0 与1,两者并不表示数量的大小。
()
5.某三个变量逻辑函数F,若以ABC的顺序列真值表,表中F=1
的个数为5个。若以CBA的顺序列真值表,则表中F=1的个
数为4个。()
6.逻辑代数运算与普通代数运算的运算规则相同。
()
7.无关项就是指取值一定为零的最小项。
()
8.组合逻辑电路通常由门电路组合而成。
()
9.组合电路的结构特点是输入信号单向传输的,电路中不含反馈
回路。()
10.奇校验位的值是其余各数据位的异或运算。
()
11.由于门电路平均延迟时间的差异,使信号从输入经不同的通路
传输到输出级的时间不同,这样可能导致逻辑电路的错误输出,这种现象称为竞争冒险。()
12.锁存器对脉冲电平敏感,在时钟脉冲的电平作用下改变状态,
而触发器对脉冲边沿敏感,其状态只有在时钟脉冲的上升沿或下降沿的瞬间改变。()
13.时序逻辑电路中必须含有存储电路,因此必然含有触发器。
()
14.同步时序电路具有统一的时钟CP控制。
()
15.异步时序逻辑电路没有统一的时钟脉冲,电路状态的改变必须
考虑外部输入信号及对应存储器的时钟端或控制端有无信号作用。()
16.异步时序电路没有统一的时钟,状态变化的时刻是不稳定的,
通常输入信号只在电路处于稳定状态时才发生变化。
2022年东华理工大学数据科学与大数据技术专业《操作系统》科目期末试卷B(有答案)
2022年东华理工大学数据科学与大数据技术专业《操作系统》科目期
末试卷B(有答案)
一、选择题
1、一个多道批处理系统中仅有P1,和P2两个作业,P2比P1晚5ms到达。它们的计算和I/O操作顺序如下:
P1:计算60ms,I/O 80ms,计算20ms
P2:计算120ms,I/O 40ms,计算40ms。
若不考虑调度和切换时间,则完成两个作业需要的时间最少是()。
A.240ms
B.260ms
C.340ms
D.360ms
2、实时操作系统必须在()内处理完来白外部的事件。
A.一个机器周期
B.被控对象规定时间
C.周转时间
D.时间片
3、若系统中有n个进程,则在阻塞队列中进程的个数最多为()?
Α. n B.n-1 C.n-2 D.1
4、一个进程的读磁盘操作完成后,操作系统针对该进程必做的是(),
A.修改进程状态为就绪态
B.降低进程优先级
C.给进程分配用户内存空间
D.增加进程时间片大小
5、下列进程调度算法中,综合考虑进程等待时间和执行时间的是()
A.时间片轮转调度算法
B.短进程优先调度算法
C.先来先服务调度算法
D.高响应比优先调度算法
6、用户程序发出磁盘1/0请求后,系统的正确处理流程是()
A.用户程序→系统调用处理程序→中断处理程序→设备驱动程序
B.用户程序→系统调用处理程序→设备驱动程序→中断处理程序
C.用户程序→设备驱动程序→系统调用处理程序→中断处理程序
D.用户程序→设备驱动程序→中断处理程序→系统调用处理程序
7、提高单机资源利用率的关键技术是()。
A.SPOOLing技术
B.虚拟技术
C.交换技术
东华理工大学EDA期末试题
东华理工大学EDA期末真题
教材:数字系统设计与V erilog HDL(第四版)王金明编著大三电子信息工程专业(2013年)
一.填空题(20分)
1.基于EDA技术的设计中,有两种设计思路:
课本第4页
2.Verilog HDL中的三类常量:课本122页
3.块语句包括:课本142页
4.状态机包括的三个对象:课本197页
5.行为语句包括:
二.简答题(20分)
1.Verilog HDL有哪三种描述方式描述逻辑电路?以及三种描述方式的特点(10分)
2.全等与相等的区别(10分)
三.程序填空题(40分)
1.移位寄存器(10分,毎空5分)
Module shift16 (din,clk,clr,dout);
Input clk,clr; input [15;0]din; output reg [15:0] dout;
Always @(posedge clk)
Begin if(clr) dout<=16‘b00;
Else begin
Dout<=?;
?<=din[15];
End
end
endmodule
2.两个8位数相乘(10分,毎空5分)(课本153页)Module mult_for (outcome,a,b);
input[7:0] a,b; output[16:1]outcome;
integer i:
always @(a or b)
begin outcome<=0;
for(i=1;i<?;i=i+1)
if(b[i]) outcome<=?;
end
endmodule
3. 15人表决器(10分,毎空5分)(课本153页); Module vote15 (pass,vote);
(完整word版)东华理工大学《电工电子技术2012-2013》期末卷
东华理工大学2012—2013学年第二学期考试试卷(A)卷
电工电子技术 课程 闭卷 课程类别:考试
一、是非题(本大题共10小题,每小题1分,共10分)
(注:请在每小题后[ ]内用“√”表示对,用“×”表示错)
1.一个正弦交流电的周期是0.02秒;则其频率为角频率ω为314rad/s 。 [ ]
2.一只额定电压220V 额定功率100W 的灯泡,接在电压最大值为311V 、输出功率2000W 的交流电源上,灯泡会烧坏。 [ ]
3.在RLC 串联电路中,X 称为电抗,是感抗和容抗共同作用的结果。 [ ]
4.二极管两端加上正向电压就一定会导通。 [ ]
5.射极输出器的电压放大倍数等于1,因此它在放大电路中作用不大。 [ ]
6.集成运放不但能处理交流信号,也能处理直流信号。 [ ]
7.晶体管由两个PN 结组成,所以可以用两个二极管反向连接起来充当晶体管使用。[ ]
8.二进制数的进位关系是逢二进一,所以1+1=10。 [ ]
9.编码是将汉字、字母、数字等按一定的规则组成代码,并赋予每一个代码一定含义的过程。 [ ] 10.触发器具有记忆功能。 [ ] 二、选择题(本大题共5小题,每小题4分,共20分)
(注:在每小题列出的四个备选项中只有一个是符合题目要求的,请将其代码填写在题后的括号内。错选、多选或未选均无分)
1.任何一个有源二端线性网络的戴维南等效电路是 [ ] A.一个理想电流源和一个电阻的并联电路 B.一个理想电流源和一个理想电压源的并联电路 C.一个理想电压源和一个理想电流源的串联电路 D.一个理想电压源和一个电阻的串联电路
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
东华理工大学EDA期末真题
教材:数字系统设计与V erilog HDL(第四版)王金明编著大三电子信息工程专业(2013年)
一.填空题(20分)
1.基于EDA技术的设计中,有两种设计思路:
课本第4页
2.Verilog HDL中的三类常量:课本122页
3.块语句包括:课本142页
4.状态机包括的三个对象:课本197页
5.行为语句包括:
二.简答题(20分)
1.Verilog HDL有哪三种描述方式描述逻辑电路?以及三种描述方式的特点(10分)
2.全等与相等的区别(10分)
三.程序填空题(40分)
1.移位寄存器(10分,毎空5分)
Module shift16 (din,clk,clr,dout);
Input clk,clr; input [15;0]din; output reg [15:0] dout;
Always @(posedge clk)
Begin if(clr) dout<=16‘b00;
Else begin
Dout<=?;
?<=din[15];
End
end
endmodule
2.两个8位数相乘(10分,毎空5分)(课本153页)Module mult_for (outcome,a,b);
input[7:0] a,b; output[16:1]outcome;
integer i:
always @(a or b)
begin outcome<=0;
for(i=1;i<?;i=i+1)
if(b[i]) outcome<=?;
end
endmodule
3. 15人表决器(10分,毎空5分)(课本153页); Module vote15 (pass,vote);
Input [15:1] vote; output reg pass;
Reg [3:0] sum; integer i;
Always @(vote)
Begin sum=0;
For(i=1;i<=15;i=i+1)
If(vote[i]) ?;
If(sum[?]) pass=1;
Else pass=0;
End
endmodule
4. 考过程赋值语句(10分)
自己去看书,阻塞赋值,非阻塞赋值。145页四.编写程序(20分)
用三种描述方式中的一种方式编写一个同步置数,异步清零的模为80的计数器(参考课本148页)
(下载后用格式转换软件转换为word打印)