电子设计自动化EDA技术实验三报告模板-8线-3线优先编码器设计[1]

合集下载

电子设计自动化(EDA)实验指导书

电子设计自动化(EDA)实验指导书

实验指导书院系:机电工程学院专业:电子信息工程课程:电子设计自动化(EDA)编者:范有机目录实验一多路选择器的设计 (1)实验二 D触发器和锁存器的设计 (2)实验三 8位全加器 (3)实验四正弦信号发生器设计 (4)实验五序列检测器的设计 (5)实验六乐曲硬件演奏电路设计 (7)实验一多路选择器的设计一、实验目的:1、熟悉QuartusII的VHDL文本设计流程、组合电路的设计仿真和测试。

二、实验内容:1、根据教材4.1节的流程,利用QuartusII完成4选1多路选择器的文本编辑输入和仿真测试等步骤,给出仿真波形。

2、在开发板上硬件测试,验证此设计的功能。

对于引脚锁定以及硬件下载测试。

输出信号接发光二极管。

最后进行编译、下载和硬件测试实验。

3、对VHDL不同描述方式的4选1多路选择器进行硬件实验,比较他们的特性。

三、实验原理与方法:选择器用于数字信息切换,4选1可用于4路信号的切换,它有4个信号输入端,2个信号选择输入端,1个信号输出端,选择信号的状态不同时,就可以使4路输入信号中的1路与输出信号端接通。

输入端可选用开关或按钮,输出连接LED以方便直观显示。

四、实验条件:1、 PC机1台2、 QuartusII系统3、开发板1块五、实验步骤:按教材4.1节的流程并参考老师教学演示的相关内容。

六、实验注意事项:所有实验数据放入一个文件夹内,并且不要把文件夹放在系统盘上,实验结束后备份好实验数据,以备教师随时查阅。

七、实验报告要求:根据以上实验内容写出实验报告,包括程序设计、软件编译、仿真分析、硬件测试和详细实验过程;给出程序分析报告、仿真波形图及其分析报告。

实验二D触发器和锁存器的设计一、实验目的:1、进一步熟悉QuartusⅡ的VHDL文本设计过程,学习简单时序电路的设计、仿真和测试。

二、实验内容:1、根据实验一的步骤,设计触发器(使用教材例3-8),给出程序设计、软件编译、仿真分析、硬件测试及详细实验过程。

eda技术实验报告

eda技术实验报告

eda技术实验报告EDA技术实验报告引言EDA(Electronic Design Automation)技术是电子设计自动化的缩写,是现代电子设计中不可或缺的一环。

它通过计算机辅助设计,提高了电路设计的效率和质量。

本文将介绍EDA技术的背景、应用和实验结果。

背景随着电子产品的不断发展,电路设计变得越来越复杂,传统的手工设计已经无法满足需求。

EDA技术的出现填补了这一空白。

它利用计算机的强大计算能力和算法,帮助设计师完成电路设计、仿真、布局和验证等工作。

应用1. 电路设计EDA技术的核心应用是电路设计。

通过EDA工具,设计师可以绘制电路图、选择器件、进行参数设置等。

EDA工具还可以自动进行电路优化,提高电路性能。

2. 仿真验证在电路设计完成后,需要对电路进行仿真验证。

EDA技术可以提供准确的仿真结果,帮助设计师分析电路的性能和稳定性。

仿真验证可以帮助设计师发现潜在的问题,提前解决。

3. 物理布局物理布局是将电路逻辑转化为实际的物理结构。

EDA技术可以自动进行物理布局,优化电路的面积和功耗。

物理布局的好坏直接影响到电路的性能和可靠性。

4. 电路验证在电路设计完成后,需要进行电路验证,确保电路的正确性和可靠性。

EDA技术可以自动进行电路验证,提供准确的验证结果。

电路验证可以帮助设计师发现设计缺陷,提高电路的可靠性。

实验设计在本次实验中,我们选择了一款EDA工具进行实验。

首先,我们设计了一个简单的数字电路,包括与门和或门。

然后,利用EDA工具进行电路仿真和优化。

最后,对电路进行物理布局和验证。

实验结果通过实验,我们得到了以下结果:1. 仿真结果显示,设计的数字电路在不同输入条件下均能正确输出结果,验证了电路的正确性。

2. 通过优化算法,我们成功提高了电路的性能,减少了功耗和面积。

3. 物理布局结果显示,电路的布局紧凑,满足了设计要求。

4. 电路验证结果显示,电路的功能和性能均符合设计要求,验证了电路的可靠性。

电子设计自动化eda实验指导书样本

电子设计自动化eda实验指导书样本

电子设计自动化(EDA)实验指引书前言近些年来,电子设计自动化(EDA)技术发展迅速。

一方面,各种大容量、高性能、低功耗可编程逻辑器件不断推出,使得专用集成电路(ASIC)生产商感受到空前竞争压力。

另一方面,浮现了许多EDA设计辅助工具,这些工具大大提高了新型集成电路设计效率,使更低成本、更短周期复杂数字系统开发成为也许。

于是一场ASIC 与FPGA/CPLD之争在所难免。

然而PLD器件具备先天竞争优势,那就是可以重复编程,在线调试。

EDA技术正是这场较劲推动引擎之一。

普通来说,EDA技术就是以计算机为平台,以EDA软件工具为开发环境,以HDL为设计语言,以可编程器件为载体,以ASIC、SOC芯片为目的器件,以电子系统设计为应用方向电子产品自动化设计过程。

设计者只需编写硬件描述语言代码,然后选取目的器件,在集成开发环境里进行编译,仿真,综合,最后在线下载调试。

整个过程,大某些工作由EDA软件完毕。

全球许多知名可编程器件提供商都推出了自己集成开发工具软件,如Altera公司MAX+PLUSⅡ、Quartus Ⅱ软件;Xilinx公司Foundation 、ISE软件,Lattice公司ispExpert 软件,Actel公司Libero软件等。

这些软件推出,极大地增进了集算法设计、芯片编程、电路板设计于一体EDA技术发展。

此外,在以SOC芯片为目的器件电子系统设计规定下,可编程器件内部开始集成高速解决器硬核、解决器软核、DSP模块、大量存储资源、高速串行收发模块、系统时钟管理器、多原则I/O接口模块,亦使得设计者更加得心应手,新一轮数字革命由此引起。

EDA技术是一门实践性很强学科,要培养出具备竞争力一流IC 设计人才,动手能力是核心。

只有通过理论学习,加上现场实验,在使用软件编程加硬件调试过程中真正获得锻炼,增长技能。

ZY11EDA13BE型实验系统采用主板加适配板加扩展板灵活构造,可以便进行基于不同PLD芯片实验开发,并易于升级,符合当前高校在此方面对人才培养规定。

《EDA技术》实验报告模板2015

《EDA技术》实验报告模板2015

《EDA技术》课程实验报告姓名:学号:班级:同组者:指导教师:许慧燕信息科学与工程学院2015-2016学年第一学期《EDA技术》课程实验报告学生姓名:所在班级:指导教师:许慧燕老师记分及评价:一、实验名称实验1-3:简单数字电子钟的设计(原理图输入设计方法)二、任务及要求【基本部分】1、在QuartusII平台上,采用原理图输入设计方法,调用两片74160十进制计数器,采用反馈置数法,完成一个24进制同步计数器的设计,并进行时序仿真。

要求具备使能功能和异步清零功能,设计完成后封装成一个元件。

2、同1,采用原理图输入设计方法,调用两片74160十进制计数器,采用反馈置数法,完成一个60进制同步计数器的设计,并进行时序仿真。

要求具备使能功能和异步清零功能,设计完成后封装成一个元件。

3、利用1和2所设计的60进制计数器和24进制计数器元件,采用同步的方式设计一个简单的数字电子钟并进行时序仿真,要求具有时分秒功能显示功能、使能功能和异步清零功能。

4、由于实验箱数码管采用的动态扫描方式,本实验暂时只要求仿真,硬件验证到实验7再完成。

【发挥部分】1、思考:采用反馈清零法设计的计数器与反馈置数法有何不同?请用实例进行仿真。

2、如何实现电子钟时分秒连续可调的功能?三、原理图四、仿真及结果分析五、小结《EDA技术》课程实验报告学生姓名:所在班级:指导教师:许慧燕老师记分及评价:一、实验名称实验4:3-8译码器的设计二、任务及要求【基本部分】1、在QuartusII平台上,采用文本输入设计方法,通过编写VHDL语言程序,完成3-8译码器的设计并进行时序仿真。

2、设计完成后生成一个元件,以供更高层次的设计调用。

3、实验箱上进行验证。

【发挥部分】设计4-8译码器,完成仿真并封装成一个元件;新建原理图,调用一片74161和所设计的4-8译码器,完成具有16种花样的循环LED灯控制器的设计,并在实验箱上进行验证。

三、实验程序四、仿真及结果分析五、硬件验证1、引脚锁定情况表:六、小结学生姓名:所在班级:指导教师:许慧燕老师记分及评价:一、实验名称实验5:7段LED显示译码器的设计二、任务及要求1、在QuartusII平台上,采用文本输入设计方法,通过编写VHDL语言程序,完成7段LED显示译码器的设计并进行时序仿真。

电子设计自动化实习报告

电子设计自动化实习报告

一、实习目的本次电子设计自动化实习旨在使我深入了解电子设计自动化(EDA)的基本原理、设计流程和实际应用,培养我的实际操作能力和团队协作精神。

通过实习,我将学习使用EDA软件进行电路设计,掌握电子电路的仿真与验证方法,提高我的电子设计水平。

二、实习时间与地点实习时间:2021年6月1日至2021年6月30日实习地点:XX电子科技有限公司三、实习内容1. EDA软件学习实习期间,我学习了Altium Designer、Multisim等EDA软件的基本操作。

通过学习,我掌握了电路原理图绘制、PCB设计、电路仿真等功能。

以下是我使用Altium Designer完成的一个简单电路设计案例。

(1)电路原理图绘制首先,我根据设计要求,在Altium Designer中绘制了电路原理图。

原理图包括电源模块、信号处理模块和输出模块。

我按照设计要求,添加了各个模块的元件,并设置了元件参数。

(2)PCB设计接着,我将原理图导入到PCB设计模块,进行PCB布局和布线。

我按照设计要求,合理分配元件位置,确保信号完整性。

在布线过程中,我遵循最小走线长度、最小拐角等原则,提高了电路性能。

(3)电路仿真完成PCB设计后,我使用Multisim软件对电路进行仿真。

通过仿真,我验证了电路设计的正确性,并对电路性能进行了优化。

2. 团队协作在实习过程中,我与其他实习生组成团队,共同完成了一个电子设计项目。

我们分工明确,互相配合,共同完成了项目的设计、仿真和调试。

3. 实际应用在实习期间,我参与了公司的一个实际项目。

该项目涉及电路设计、PCB制作和调试。

我负责电路设计部分,按照项目要求,完成了电路原理图绘制、PCB设计和仿真。

在项目过程中,我学习了如何将理论知识应用到实际项目中,提高了我的实际操作能力。

四、实习收获1. 理论知识与实践相结合通过实习,我将所学的理论知识与实际操作相结合,提高了我的电子设计水平。

2. 团队协作能力在实习过程中,我学会了与他人沟通、协作,提高了我的团队协作能力。

EDA技术实验报告完整版

EDA技术实验报告完整版

福建农林大学金山学院信息工程类实验报告课程名称:EDA技术姓名:邱彬彬系:信息与机电工程系专业:电子信息工程专业年级:2010级学号:100201079指导教师:蔡剑卿职称:讲师2013年05月03日实验项目列表福建农林大学金山学院信息工程类实验报告系:信息与机电工程系专业:电子信息工程年级: 2010级姓名:邱彬彬学号: 100201079 实验课程: EDA技术实验室号:__田实405 实验设备号: 2B 实验时间: 2013年4月13日指导教师签字:成绩:实验一Quartus II 9.0软件的使用1.实验目的和要求本实验为验证性实验,其目的是熟悉Quartus II 9.0软件的使用,学会利用Quartus II 9.0软件来完成整个EDA开发的流程。

2.实验原理利用VHDL完成电路设计后,必须借助EDA工具中的综合器、适配器、时序仿真器和编程器等工具进行相应的处理后,才能使此项设计在FPGA上完成硬件实现,并得到硬件测试,从而使VHDL设计得到最终的验证。

Quartus II是Altera提供的FPGA/CPLD开发集成环境,包括模块化的编译器,能满足各种特定设计的需要,同时也支持第三方的仿真工具。

3.主要仪器设备(实验用的软硬件环境)实验的硬件环境是:微机一台GW48 EDA实验开发系统一套电源线一根十芯JTAG口线一根USB下载线一根USB下载器一个实验的软件环境是:Quartus II 9.0软件4.操作方法与实验步骤利用Quartus II 9.0软件实现EDA的基本设计流程:创建工程、编辑文本输入设计文件、编译前设置、全程编译、功能仿真。

利用Quartus II 9.0软件实现引脚锁定和编译文件下载。

利用Quartus II 9.0软件实现原理图输入设计文件的编辑和产生相应的原理图符号元件。

5.实验内容及实验数据记录安装QUARTUSII软件;因为实验时我的机器了已经有QUARTUSII软件,所以我并没有进行安装软件的操作。

Verilog八线-三线优先编码器设计(74LS148)

Verilog八线-三线优先编码器设计(74LS148)

Verilog⼋线-三线优先编码器设计(74LS148)if语句法1//8线-3线优先编码器设计(74LS148)2//3//EI | A7 A6 A5 A4 A3 A2 A1 A0 | Y2 Y1 Y0 GS EO4//0 | 0 x x x x x x x | 0 0 0 0 15//0 | 1 0 x x x x x x | 0 0 1 0 16//0 | 1 1 0 x x x x x | 0 1 0 0 17//0 | 1 1 1 0 x x x x | 0 1 1 0 18//0 | 1 1 1 1 0 x x x | 1 0 0 0 19//0 | 1 1 1 1 1 0 x x | 1 0 1 0 110//0 | 1 1 1 1 1 1 0 x | 1 1 0 0 111//0 | 1 1 1 1 1 1 1 0 | 1 1 1 0 112//0 | 1 1 1 1 1 1 1 1 | 1 1 1 1 013//1 | x x x x x x x x | 1 1 1 1 1141516module encoder_83 (din, EI, GS, EO, dout);17input [7:0] din; //编码输⼊端data_in,低电平有效18input EI; //使能输⼊端EI(选通输⼊端),EI为 0 时芯⽚⼯作,即允许编码19output [2:0] dout; //编码输出端data_out20output GS; //⽚优先编码输出端,优先编码器⼯作⼯作状态标志GS,低电平有效21output EO; //使能输出端EO(选通输出端)22reg [2:0] dout;23reg GS, EO;24always @(din or EI)25if(EI) begin dout <= 3'b111; GS <= 1; EO <= 1; end //所有输出端被锁存在⾼电平26else if (din[7] == 0) begin dout <= 3'b000; GS <= 0; EO <= 1; end27else if (din[6] == 0) begin dout <= 3'b001; GS <= 0; EO <= 1; end28else if (din[5] == 0) begin dout <= 3'b010; GS <= 0; EO <= 1; end29else if (din[4] == 0) begin dout <= 3'b011; GS <= 0; EO <= 1; end30else if (din[3] == 0) begin dout <= 3'b100; GS <= 0; EO <= 1; end31else if (din[2] == 0) begin dout <= 3'b101; GS <= 0; EO <= 1; end32else if (din[1] == 0) begin dout <= 3'b110; GS <= 0; EO <= 1; end33else if (din[0] == 0) begin dout <= 3'b111; GS <= 0; EO <= 1; end34else if (din == 8'b11111111) begin dout <= 3'b111; GS <= 1; EO <= 0; end//芯⽚⼯作,但⽆编码输⼊35else begin dout <= 3'b111; GS <= 1; EO <= 1; end //消除锁存器(latch)36endmodule3738//EI = 0 表⽰允许编码,否则所有输出端被封锁在⾼电平(控制芯⽚⼯作)39//EO = 0 表⽰电路⼯作,但⽆编码输⼊(⽤于级联)40//GS = 0 表⽰电路⼯作,且有编码输⼊(判断输⼊端是否有输⼊)testbench:1 `timescale 1 ps/ 1 ps2module encoder_83_vlg_tst();3reg EI;4reg [7:0] din;5wire EO;6wire GS;7wire [2:0] dout;8 encoder_83 i1 (.EI(EI), .EO(EO), .GS(GS), .din(din), .dout(dout));9initial10begin11 EI = 1;12 din = 8'b11111111;13 #10 EI = 0;14 #10 din = 8'b01010101;15 #10 din = 8'b10101010;16 #10 din = 8'b11010101;17 #10 din = 8'b11101010;18 #10 din = 8'b11110101;19 #10 din = 8'b11111010;20 #10 din = 8'b11111101;21 #10 din = 8'b11111110;22 #10 din = 8'b11111111;23end24endmoduleView Codecase语句法1//8线-3线优先编码器设计(74LS148)2//3//EI | A7 A6 A5 A4 A3 A2 A1 A0 | Y2 Y1 Y0 GS EO4//0 | 0 x x x x x x x | 0 0 0 0 15//0 | 1 0 x x x x x x | 0 0 1 0 16//0 | 1 1 0 x x x x x | 0 1 0 0 17//0 | 1 1 1 0 x x x x | 0 1 1 0 18//0 | 1 1 1 1 0 x x x | 1 0 0 0 19//0 | 1 1 1 1 1 0 x x | 1 0 1 0 110//0 | 1 1 1 1 1 1 0 x | 1 1 0 0 111//0 | 1 1 1 1 1 1 1 0 | 1 1 1 0 112//0 | 1 1 1 1 1 1 1 1 | 1 1 1 1 013//1 | x x x x x x x x | 1 1 1 1 1141516module encoder_83_case (din, EI, GS, EO, dout);17input [7:0] din; //编码输⼊端data_in,低电平有效18input EI; //使能输⼊端EI(选通输⼊端),EI为 0 时芯⽚⼯作,即允许编码19output [2:0] dout; //编码输出端data_out20output GS; //⽚优先编码输出端,优先编码器⼯作⼯作状态标志GS,低电平有效21output EO; //使能输出端EO(选通输出端)22reg [2:0] dout;23reg GS, EO;24always @(din or EI)25if(EI)26begin dout <= 3'b111; GS <= 1; EO <= 1; end //所有输出端被锁存在⾼电平27else28casez (din) //建议⽤casez语句,casez把z/?匹配成任意。

EDA(电子设计自动化)模板

EDA(电子设计自动化)模板

EDA(电子设计自动化)模板项目名称:EDA(电子设计自动化)模板1. 项目简介:本项目是一个用于电子设计自动化的模板,旨在提供一个可靠、高效的电子设计自动化框架,以帮助电子工程师快速完成电路设计、仿真和验证工作。

2. 功能特点:- 电路设计:提供基本的电路元件库,支持通过拖拽方式构建电路图,并自动生成对应的电路连接。

- 电路仿真:集成常用的电路仿真工具,例如SPICE仿真,以验证电路的性能和功能。

- 电路布局与布线:提供丰富的布局和布线工具,支持生成PCB (印制电路板)的设计文件。

- 线束与信号完整性分析:集成线束设计与信号完整性分析工具,帮助工程师解决电路布线时可能遇到的问题。

- 可扩展性:支持自定义电路元件库、仿真模型和布局规则,以满足不同项目的需求。

3. 技术实现:- 前端框架:使用HTML、CSS和JavaScript实现界面的搭建和交互功能。

- 后端开发:使用Python或Java等编程语言构建后端逻辑,处理用户请求和数据存储。

- 数据库:使用MySQL或MongoDB等数据库管理电路设计的相关信息。

- 仿真工具集成:集成常用的电路仿真工具,例如PSPICE、LTspice等。

- 布局与布线工具:集成AutoCAD、Altium Designer等工具,实现电路布局和布线功能。

4. 使用步骤:1) 登录系统或注册新用户。

2) 创建项目并命名。

3) 在项目中添加电路元件,连接电路图。

4) 进行电路仿真,验证电路性能。

5) 根据仿真结果进行电路布局和布线。

6) 分析电路线束与信号完整性,解决布线中的问题。

7) 导出PCB设计文件或打印电路图。

5. 预期成果:本项目的目标是提供一个完整的电子设计自动化框架,简化电子工程师的设计流程,提高工作效率。

预期成果包括:- 一个稳定可靠的EDA模板,满足基本的电子设计需求。

- 提供可扩展性,允许用户根据具体项目需求进行定制。

- 提供详细的文档和示例,帮助用户快速上手使用和定制模板。

电子设计自动化EDA实验

电子设计自动化EDA实验

电子设计自动化(EDA)实验引言电子设计自动化(EDA)是一种利用计算机技术来辅助电子系统设计的工具和方法。

传统的电子设计过程通常需要进行大量的手工操作,但由于电子系统的复杂性不断增加,现代电子设计已经无法满足快速、高效、准确开发产品的需求。

因此,EDA成为了现代电子设计的关键技术。

本实验将介绍EDA的基本概念和相关工具,以帮助大家更好地理解和应用EDA技术。

1. EDA的定义EDA,即Electronic Design Automation,是指利用计算机和相关工具来辅助进行电子系统设计的一种技术。

它采用了计算机辅助设计(CAD)的概念和方法,结合了电路设计、逻辑设计、物理设计等多种技术,可大大提高电子系统设计的效率和可靠性。

EDA技术已经广泛应用于各个层次的电子系统设计中,包括电路设计、芯片设计、电路板设计等。

2. EDA的基本流程EDA的基本流程包括以下几个主要步骤:2.1 电路设计电路设计是EDA的首要步骤之一。

在电路设计阶段,需要通过选择合适的元器件和器件参数来构建电路图,并进行电路仿真和性能评估。

常用的电路设计工具包括Altium Designer、Cadence 等。

2.2 逻辑设计逻辑设计是EDA的核心步骤之一。

在逻辑设计阶段,需要将电路图转化为逻辑电路图,并进行逻辑仿真、逻辑综合等操作,以验证电路功能和性能的正确性。

常用的逻辑设计工具包括Verilog、VHDL等。

2.3 物理设计物理设计是EDA的重要步骤之一。

在物理设计阶段,需要进行芯片布局、布线规划、时钟树设计等操作,以实现电路的物理布局和布线,最终生成物理设计数据。

常用的物理设计工具包括Cadence、Synopsys等。

2.4 验证与验证验证与验证是EDA的关键步骤之一。

在验证与验证阶段,需要进行电路功能验证、时序验证、功耗验证等操作,以保证电子系统设计的正确性和可靠性。

常用的验证与验证工具包括Mentor、Cadence等。

EDA课程设计报告-8-3优先编码器EDA课程设计报告

EDA课程设计报告-8-3优先编码器EDA课程设计报告

Xxxx大学课程设计任务书课程硬件课程设计题目 8-3优先级编码器设计专业姓名学号主要内容、基本要求等一、主要内容:利用EL教学实验箱、微机和QuartusⅡ软件系统,使用VHDL语言输入方法设计8-3优先编码器。

可以利用层次设计方法和VHDL语言,完成硬件设计设计和仿真。

最后在EL教学实验箱中实现。

二、基本要求:设计并实现一个8-3优先级编码器,要求I0优先级最高,I7优先级最低,编码输出为原码。

三、扩展要求:输入端加使能端,在使能端为有效的低电平时,进行编码;在使能端为无效的高电平时,输出高阻状态。

四、参考文献:[1] 杨刚,龙海燕.现代电子技术-VHDL与数据系统设计.北京:电子工业出版社,2004[2] 黄仁欣.EDA技术实用教程.北京:清华大学出版社,2006[3] 潘松.VHDL实用教程[M].成都:电子科技大学出版社,2000[4] 李国丽,朱维勇.电子技术实验指导书.合肥:中国科技大学出版社,2000[5] 宋振辉. EDA技术与VHDL.北京:北京大学出版社,2008完成期限18-19周指导教师张岩专业负责人富宇2011年6月28日目录第1章概述 (1)1.1 EDA的概念 (1)1.2 EDA技术及应用 (2)1.3 EDA技术发展趋势 (2)1.4 Quartus II特点介绍 (3)第2章硬件描述语言——VHDL (4)2.1 VHDL的简介 (4)2.2 VHDL语言的特点 (4)2.3 VHDL的设计流程 (5)第3章 8-3优先编码器的设计 (6)3.1 编码器的工作原理 (6)3.2 8-3优先编码器的设计 (6)3.3 8-3优先编码器仿真及分析 (7)3.4 在实验箱上实现8-3优先编码器 (8)结论 (11)参考文献 (12)第1章概述1.1EDA的概念EDA技术是在电子CAD技术基础上发展起来的计算机软件系统,是指以计算机为工作平台,融合了应用电子技术、计算机技术、信息处理及智能化技术的最新成果,进行电子产品的自动设计。

EDA实验报告

EDA实验报告

实验三: 二位比较器的设计与实现一.实验简介:这个实验将指导你通过使用ISE软件进行简单的二位比较器的设计与实现。

二.实验目的:•使用ISE软件设计并仿真。

•学会程序下载。

三.实验原理:1.ISE软件是一个支持数字系统设计的开发2.用ISE软件进行设计开发时基于相应器件型号的。

注意:软件设计时选择的器件型号是与实际下载板上的器件型号相同。

3.图2-1所示为二位比较器的真值表,本实验中用Verilog语句来描述。

b[1] b[0] a[1] d[0]0 0 0 00 0 0 10 0 1 00 0 1 10 1 0 00 1 0 10 1 1 00 1 1 11 0 0 01 0 0 11 0 1 01 0 1 11 1 0 01 1 0 11 1 1 01 1 1 1a_eq_b a_gt_b a_lt_b1 0 00 1 00 1 00 1 00 0 11 0 00 1 00 1 00 0 10 0 11 0 00 1 00 0 10 0 10 0 11 0 0四.实验步骤:1.新建工程(1)双击桌面上“”图标,启动ISE软件(也可从开始菜单启动)。

每次打开ISE都会默认恢复到最近使用过的工程界面。

当第一次使用时,由于还没有历史工程记录,所以工程管理区显示空白。

选择File—New Project选项,在弹出的对话框中输入工程名称并指定工程路径。

(2)点击Next按钮进入下一页,选择所使用的芯片及综合、仿真工具。

计算机上安装的所有用于仿真和综合的第三方EDA工具都可以在下拉菜单中找到。

在图中我们选用了Spartan6 XC6SLX16芯片,采用CSG324封装,这是NEXYS3开发板所用的芯片。

另外,我们选择Verilog作为默认的硬件描述语言。

(3)再点击Next按钮进入下一页,这里显示了新建工程的信息,确认无误后,点击Finish 就可以建立一个完整的工程了。

(1)在工程管理区任意位置单击鼠标右键,在弹出的菜单中选择New Source命令,选择Verilog Module输入,并输入Verilog文件名。

电子设计自动化eda技术实验三报告模板-8线-3线优先编码器设计

电子设计自动化eda技术实验三报告模板-8线-3线优先编码器设计

电子设计自动化eda技术实验三报告模板-8线-3线优先编码器设计篇一:电子设计自动化EDA技术实验三报告模板-8线-3线优先编码器设计[1]湖南安全职业技术学院实验报告课程名称实验项目名称 8线-3线优先编码器设计实验学生班级电信0901 实验时间实验地点 EDA实训室实验成绩评定指导教师签字年月日篇二:EDA课程设计报告8线-3线优先编码器Xxxxx学院《EDA技术》课程报告设计题目:8线-3线优先编码器班级:应用电子1101班姓名:学号:指导老师:日期:目录一、8-3优先编码器设计原理分析 (3)二、8-3优先编码器模块的源程序 .............. 3 三、8-3优先编码器仿真结果 .................. 4 四、设计总结和心得体会 ...................... 5 五、参考资料 (5)一、8-3优先编码器设计原理分析8-3优先编码器输入信号为din0,din1,din2,din3,din4,din5,din6和din7,输出信号为out2、out1、out0。

输入信号中din7的优先级别最低,依次类推,din0的优先级别最高。

也就是说若din0输入为1(即为高电平)则无论后续的输入信号怎么样,对应的这种状态一样,如若din0输入为0(即为低电平)则看优先级仅次于din0的din1状态决定,依次类推。

因为din0到din7共8中状态,可以用3位二进制编码来表示。

8-3优先编码器真值表如下表所示。

表1 8-3优先编码器真值表二、8-3优先编码器模块的源程序8-3优先编码器由VHDL程序来实现,VHDL语言描述如下:LIBRARY IEEE;USE _LOGIC_; ENTITY coder ISPORT ;output : OUT STD_LOGIC_VECTOR;EANABLE: in std_logic ); END coder;ARCHITECTURE behav OF coder ISSIGNAL SINT : STD_LOGIC_VECTOR; BEGINPROCESS BEGINIF THENIF ='1') THEN output 篇三:实验三-8线3线优先编码器实验三基本组合逻辑电路的PLD实现(2)实验名称:利用原理图输入法与VerilogHDL输入法设计一个8线-3线优先编码器实验目的:1. 熟悉用可编程器件实现基本组合逻辑电路的方法。

8——3编码器 数电实验报告(完整版)

8——3编码器   数电实验报告(完整版)
3.仿真图的结果和源程序时对应的关系,此次仿真的编码器是普通编码器,没有优先级。
实验总结
1.由于编译环境是在软件:Altera QuartusⅡ9.0下进行的,而该软件是全英文版,在第一次做的时候总是多一个字母少一个字母的,所以为了适应该软件在课下要做好实验预习,同时也应该认真听老师讲课。
2.在选择工程路径和工程名以及顶层文件实体名时,要注意工程名必须与顶层实体名相同,而工程目录可以随便设置,但必须是英文的目录,工程名跟顶层实体名必须也是英文字母开头。
8'b0000_0010 : led <= 3'b001;//如果sw为8’b0000_0010,则led被赋值为3’b001;
8'b0000_0100 : led <= 3'b010;//如果sw为8’b0000_0100,则led被赋值为3’b010;
8'b0000_1000 : led <= 3'b011;//如果sw为8’b0000_1000,则led被赋值为3’b011;
8'b1000_0000 : led <= 3'b111;//如果sw为8’b1000_0000,则led被赋值为3’b111;
default : led <= 3'b000;//如果sw不是上面的值,则led被赋值为3’b000;
endcase//进程结束
end//实体结束
endmodule//结束模块
实验内容
编写8—3编码器的Verilog代码并仿真
实验数据
(图一)
(图二)
对实验结果的分析:
1.在设定sw的参数后,任意操作sw1——sw7,例如操作sw[4],则led被赋值为二进制的3’b100,然后回到源程序“output [2:0] led;”则被三位输出。

EDA实验三八译码器设计报告

EDA实验三八译码器设计报告

电子信息工程学系实验报告课程名称:EDA技术与实验成绩:实验项目名称:三八译码器设计实验时间:2011.09.20指导教师(签名):班级:姓名:刘国荣学号:实验目的:1.熟悉ALTERA公司EDA设计工具软件max+plusⅡ。

2. 掌握max+plusⅡ文本设计及其仿真。

实验环境:WINDOWS XPMAX+PLUSⅡ实验内容及过程:1.学习max+plusⅡ课件。

2.学习max+plusⅡ的安装,重要菜单命令含义。

3.模仿课件中实例动手操作一遍,掌握采用max+plusⅡ文本设计流程。

实验结果及分析:描述出三八译码器工作原理、文本设计过程,原理图设计过程及其仿真结果。

1工作原理3-8译码器的输入是3个脚,输出是8个脚。

用高低电平来表示输入和输出。

输入是二进制。

3只脚也就是3位二进制数。

输入可以3位二进制数。

3位二进制最大是111 也就是8。

输出是8个脚,表示10进制。

是根据输入的二进制数来输出。

如果输入是101 那么就是第5只脚高电平,表示二进制数是5。

其实3-8译码器的功能就是把输入的3位2进制数翻译成10进制的输出。

2.文本设计(1)、选择File | New弹出对话框,选择Text Editor file,新建文本编辑文件。

如图1所示。

图1 新建文件图2 选择文本文件(2)、在文本编辑窗口,输入Verilog语言,代码如下:图3编辑代码(3)、将文件命名为“ym38”保存为v文件图4 保存为v文件(4)、检查文件可行性图5 检查文件显示可行(5)、再新建一个文件夹,选择波形文件图6 新建波形文件(6)、列出端口及选择端口输入波形图7 波形端口选择图8 各段波形(7)、保存波形点击star开始仿真,结果如图图9 仿真结果3.原理图设计(1)、选择File | New,弹出对话框,选择Graphic Editor file新建一个原理图文件,如图10图10 新建原理图文件(2)、在原理图界面要放置元件的空白处双击鼠标左键,弹出Enter Symbol对话框,在对话框选择74138元件,单击OK,放置74138元件,同理,放置INPUT和OUTPUT,如图11图11放置元件(3)、在元器件的其中一个端口点中鼠标左键不放,拖到所需连线的另一个元件端口上,连好线,双击PIN_NAME,输入引脚名,最终原理图,如图12图12 最终原理图(4)、保存文件并检查可行性结果如图13可行图13 检查可行性(5)、同上设计一样的输出波形图后点击保存。

实验二 8线-3线编码器设计

实验二 8线-3线编码器设计

实验名称 8线-3线编码器设计指导老师尚丽娜成绩专业电科班级 1102 姓名汪磊学号31102333一、实验目的1、学习QuartusII软件,学习使用硬件描述语言设计电路。

2、学习DE1平台基本构成,能够使用DE1平台进行简单设计。

二、实验要求使用QuartusII文本输入法设计8线-3线编码器。

使用QuartusII软件进行文本输入,并对设计电路进行仿真,并下载到硬件平台,自行定义硬件平台使用端口。

三、实验设备PC机、DE1硬件平台四、实验原理根据8线—3线编码器的真值表原理进行实验,下表为8线—3线编码器的真值表五、实验过程library ieee;use ieee.std_logic_1164.all;entity bm8_3 isport(a:in std_logic_vector(7 downto 0);b:out std_logic_vector(2 downto 0));end bm8_3;architecture zhang of bm8_3 isbeginprocess(a)begincase a iswhen"00000001"=>b<="000";when"00000010"=>b<="001";when"00000100"=>b<="010";when"00001000"=>b<="011";when"00010000"=>b<="100";when"00100000"=>b<="101";when"01000000"=>b<="110";when others=>b<="111";end case;end process;end zhang;六、实验结果该程序还存在一些不足,当出现10000000是和非正常输入的时候是一样的输出,所以我们进行了一些修改,修改程序与结果如下:library ieee;use ieee.std_logic_1164.all;entity e isport(a:in std_logic_vector(7 downto 0);b:out std_logic_vector(3 downto 0));end e;architecture zhang of e isbeginprocess(a)begincase a iswhen"00000001"=>b<="0000";when"00000010"=>b<="0001";when"00000100"=>b<="0010";when"00001000"=>b<="0011";when"00010000"=>b<="0100";when"00100000"=>b<="0101";when"01000000"=>b<="0110";when"10000000"=>b<="0111";when others=>b<="1111";end case;end process;end zhang;思考题一:思考题二:entity e isport(a:in bit_vector(7 downto 0);b:out bit_vector(3 downto 0)); end e;architecture zhang of e isbeginprocess(a)begincase a iswhen"00000001"=>b<="0000";when"00000010"=>b<="0001";when"00000100"=>b<="0010";when"00001000"=>b<="0011";when"00010000"=>b<="0100";when"00100000"=>b<="0101";when"01000000"=>b<="0110";when"10000000"=>b<="0111";when others=>b<="1111";end case;end process;end zhang;七、心得体会对操作更加熟练,加深对其的认识。

VHDL实验报告——8-3优先编码器(免费哦~)

VHDL实验报告——8-3优先编码器(免费哦~)
vhdl实验报告83优先编码器免费哦编码器译码器实验报告vhdl实验报告优先编码器74148优先编码器83优先编码器74ls148优先编码器42线优先编码器325优先编码器8线3线优先编码器
上机实验报告
实验题目
班级
姓名
学号
指导教师
8-3优先编码器的
VHDL设计

实验目的与要求:
1、通过常见基本组合逻辑电路的设计,熟悉EDA设计流程。
(原理图及仿真的波形界面在备注)
遗留问题与说明:
编程不熟练,在定义上概念不清晰。
备注:
实验源程序
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
ENTITY ENCODER IS
PORT(
D:IN STD_LOGIC_VECTOR(0 TO 7);
ELSE A<="ZZZ";
END IF;
END PROCESS;
END;
实验仿真波形截图
2、熟悉文本输入及仿真步骤。
3、掌握VHDL设计实体的基本结构及文字规则。
4、掌握组合逻辑电路的静态测试方法。
5、理解硬件描述语言和具体电路的映射关系。
实验步骤与内容:(可加附页)
1、创建工程。
2、新建文件夹。
3、输入正确的源程序,保存,编译。
4、波形仿真,分配引脚,编译。
5、下载到试验箱,进行功能验证。
A:OUT STD_LOGIC_VECTOR(0 TO 2)
);
END ;
ARCHITECTURE XIANI OF ENCODER IS

电子设计自动化EDA技术实验三报告模板-8线-3线优先编码器设计[1]

电子设计自动化EDA技术实验三报告模板-8线-3线优先编码器设计[1]

湖南安全职业技术学院实验报告课程名称电子设计自动化EDA技术实验项目名称8线-3线优先编码器设计实验学生班级电信0901实验学生姓名熊飞同组学生姓名颜林、陈伟实验时间实验地点EDA实训室实验成绩评定指导教师签字年月日24其中IN 表示输入编码位,Sel 为片选信号,Y 表示输出编码值,YS 与YEX 表示器件状态,“11”表示器件未选中,“01”表示无键按下,“10”表示器件工作态。

四、实验方案设计、实验方法 1. 实验方案8-3优先编码器的VHDL 描述有多种方法,设计过程中可以根据真值表采用case …when 语句、with …select 语句、if …then 结构等多种手段实现,也可以根据真值表分析输入输出间的逻辑关系,根据逻辑关系写出其布尔表达式,根据布尔代数式调用基本逻辑门元件实现8-3优先编码器。

本实验中根据真值表用if-then 结构实现8-3优先编码器 2. 实验方法首先根据前文所述,对照真值表的列出的不同输入逻辑状态,分情况依次输出于输入的对应关系,而后编译综合,由开发系统自行实现电路功能。

五、实验步骤1. 设计输入 利用FILE\New 菜单输入VHDL 源程序,创建源文件2. 设计项目的创建1) 原文件存储…..2) 利用FILE\Project\Set Project … 3. 设计编译 ….IN0 IN1 IN2 IN3 IN4 IN5 IN6 IN7 SelY0 Y1 Y2 YS YEX6管脚分配情况如图,所选器件为EPM7032AELCC44-43. 仿真波形8线-3线优先编码器的仿真波形如下图,从波形可以得出,输入输出满足前文真值表,电路功能达到设计要求4. 时序分析图上述时间分析可以得到,输出信号存在最大4.5时间延迟,它主要与器件速度、表达逻辑的合理性有关,选用速度更高器件、优化设计可以使该值降低。

七、结论采用图形编程法实现了8线-3线优先编码器的设计,并完成了电路的设计编译、综合、逻辑仿真、时间分析,结果表明采用ALTRA的CPLD器件设计的8线-3线优先编码器,时间延迟为不超过4.5ns八、思考题8线-3线优先编码器的设计方法还可以通过什么方式实现?利用VHDL实现8线-3线优先编码器方法多样,还可以通过诸如case-when等其他结构实现…..8。

实验三--8-3优先编码器和3-8线译码器讲解学习

实验三--8-3优先编码器和3-8线译码器讲解学习

实验三8-3优先编码器和3-8线译码器一、实验目的1、熟悉常用编码器,译码器的功能逻辑。

2、熟悉VHDL的代码编写方法。

3、掌握复杂译码器的设计方法。

二、实验原理2、逻辑表达式:Y2=X4&X5&X6&X7Y1=~(~(X2)&X4&X5|~(X3)&X4&X5|~(X6)|~(X7));Y0=~(~(X1)&x2&X4&X6|~(X3)&X4&X6|~(X5)&X6|~(X7));2、3-8线码器总体思路以EP2C5中的三个拨位开关,SW3,SW2,SW1为三个输入信号,可以代表8种不同的状态,该译码器对这8种状态译码,并把所译码的结果在七段LED数码管上显示出来。

三、实验连线1、将EP2C5适配板左下角的JTAG用十芯排线和万用下载区左下角的SOPC JTAG 口连接起来,万用下载区右下角的电源开关拨到SOPC下载的一边2、请将JPLED1短路帽右插,JPLED的短路帽全部上插。

3、请将JP103的短路帽全部插上。

四、实验步骤及波形按照步骤三正确连线,参考实验二步骤,完成项目的建立,文件的命名,文件的编辑,语法检查,引脚分配,编译,下载。

8-3优先编码器参考代码:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;ENTITY encode ISPORT(XINA :IN STD_LOGIC_VECTOR(7 DOWNTO 0);Y0,Y1,Y2: OUT STD_LOGIC;OUTA : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);LEDW: OUT STD_LOGIC_VECTOR(2 DOWNTO 0));END encode;ARCHITECTURE ADO OF encode ISSIGNAL LED: STD_LOGIC_VECTOR(2 DOWNTO 0);SIGNAL XIN: STD_LOGIC_VECTOR(7 DOWNTO 0);BEGINXIN<=XINA;LEDW<="000";PROCESS (XIN)BEGINCASE XIN ISWHEN x"00" => OUTA<=x"3F";WHEN x"01" => OUTA<=x"06";WHEN x"02" => OUTA<=x"5B";WHEN x"04" => OUTA<=x"4F";WHEN x"08" => OUTA<=x"66";WHEN x"10" => OUTA<=x"6D";WHEN x"20" => OUTA<=x"7D";WHEN x"40" => OUTA<=x"07";WHEN x"80" => OUTA<=x"3F";WHEN OTHERS => OUTA<=x"3F";END CASE;END PROCESS;PROCESS (XIN)BEGINCASE XIN ISWHEN x"01" => LED<="001";WHEN x"02" => LED<="010";WHEN x"04" => LED<="011";WHEN x"08" => LED<="100";WHEN x"10" => LED<="101";WHEN x"20" => LED<="110";WHEN x"40" => LED<="111";WHEN x"80" => LED<="000";WHEN OTHERS => LED<="000";END CASE;END PROCESS;Y2<=LED(2);Y1<=LED(1);Y0<=LED(0);END ADO;3-8译码器参考代码:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;ENTITY DECODE ISPORT(DATA_IN :IN STD_LOGIC_VECTOR(2 DOWNTO 0);LEDOUT,DATA_OUT :OUT STD_LOGIC_VECTOR(7 DOWNTO 0);LEDW :OUT STD_LOGIC_VECTOR(2 DOWNTO 0));END DECODE;ARCHITECTURE ADO OF DECODE ISSIGNAL OUTA,D_OUT : STD_LOGIC_VECTOR(7 DOWNTO 0);BEGINLEDW<="000";PROCESS (DATA_IN)V ARIABLE DIN: STD_LOGIC_VECTOR(2 DOWNTO 0);BEGINDIN:=DA TA_IN;LEDOUT<=OUTA;DATA_OUT<=D_OUT;CASE DIN ISwhen "000" => OUTA<="00111111" ; --"0"when "001" => outa<="00000110" ; --"1"when "010" => outa<="01011011"; --"2"when "011" => outa<="01001111"; --"3"when "100" => outa<="01100110"; --"4"when "101" => outa<="01101101"; --"5"when "110" => outa<="01111101"; --"6"when "111" => outa<="00000111"; --"7"WHEN OTHERS => OUTA<="XXXXXXXX";END CASE;CASE DIN ISWHEN "000" => D_OUT<="00000000";WHEN "001" => D_OUT<="00000001";WHEN "010" => D_OUT<="00000010";WHEN "011" => D_OUT<="00000100";WHEN "100" => D_OUT<="00001000";WHEN "101" => D_OUT<="00010000";WHEN "110" => D_OUT<="00100000";WHEN "111" => D_OUT<="01000000";WHEN OTHERS=> D_OUT<="XXXXXXXX";END CASE;END PROCESS;END ADO;五、实验仿真8-3编码器引脚锁定如图:图5-1图5-2仿真波形如图:3-8译码器引脚锁定如图:图5-3波形如图:图5-4六、实验现象调试ok的EP2C5文件在文件夹decode中,可以直接调用。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

1xxxxxxxx1111 1 0111111111110 1 0xxxxxxx00001 0 0xxxxxx010011 0 0xxxxx0110101 0 0xxxx01110111 0 0xxx011111001 0 0xx0111111011 0 0x01111111101 0 0011111111111 0
BEGIN P1:PROCESS(I,SEL) BEGIN if SEL='1' then Y <="111"; YS <='1'; YEX<='1'; elsif I(7)='0' then Y <="000"; YS <='1'; YEX<='0'; elsif I(6)='0' then Y<="001"; YS <='1'; YEX<='0';
②实验结果须有指导教师签字。
一、实验目的和要求
复习编码器的原理,掌握编码器的设计实现方法,设计实现数字系统设计
中常用的 8 线-3 线优先编码器,逐步学会熟练运用 MAX+PLUSⅡ或 Quartus II
软件,熟悉 EDA 的 VHDL 程序设计方法、学习掌握组合逻辑电路的 VHDL 描
述方法,进一步掌握应用 EDA 常用工具进行组合逻辑电路的设计、分析、综
四、实验方案设计、实验方法
1. 实验方案
8-3 优先编码器的 VHDL 描述有多种方法,设计过程中可以根据真值表采
用if…then 结构等多种手段实现,也
可以根据真值表分析输入输出间的逻辑关系,根据逻辑关系写出其布尔表达
式,根据布尔代数式调用基本逻辑门元件实现 8-3 优先编码器。
2. 管脚 8 线-3 线优先编码器的管脚如图:
IN0 IN1 IN2 IN3 IN4 IN5 IN6 IN7
其 中 Sel
Y0 Y1 Y2
YS
YEX IN 表示输入编码位,
Sel 为片选信号,Y 表示输出编码值,YS 与 YEX 表示器件状态,“11”表示
器件未选中,“01”表示无键按下,“10”表示器件工作态。
elsif I(1)='0' then Y<="110"; YS <='1'; YEX<='0';
elsif I(0)='0' then Y<="111"; YS <='1'; YEX<='0';
elsif I="11111111" then Y<="111"; YS <='0'; YEX<='1';
八、思考题 8 线-3 线优先编码器的设计方法还可以通过什么方式实现? 利用 VHDL 实现 8 线-3 线优先编码器方法多样,还可以通过诸如
case-when 等其他结构实现…..
本实验中根据真值表用 if-then 结构实现 8-3 优先编码器
2. 实验方法
首先根据前文所述,对照真值表的列出的不同输入逻辑状态,分情况依次输
出于输入的对应关系,而后编译综合,由开发系统自行实现电路功能。
五、实验步骤
1. 设计输入 利用 FILE\New 菜单输入 VHDL 源程序,创建源文件
2. 设计项目的创建
4. 时序分析图
上述时间分析可以得到,输出信号存在最大 4.5 时间延迟,它主要与器件速 度、表达逻辑的合理性有关,选用速度更高器件、优化设计可以使该值降低。
七、结论 采用图形编程法实现了 8 线-3 线优先编码器的设计,并完成了电路的
设计编译、综合、逻辑仿真、时间分析,结果表明采用 ALTRA 的 CPLD 器件 设计的 8 线-3 线优先编码器,时间延迟为不超过 4.5ns
湖南安全职业技术学院
实验报告
课 程 名 称 电子设计自动化 EDA 技术 实验项目名称 8 线-3 线优先编码器设计
实验学生班级 电信 0901
实验学生姓名
熊飞
同组学生姓名 颜林、陈伟
实验时间
实 验 地 点 EDA 实训室
实验成绩评定 指导教师签字
年月日
本实验类型: □演示性 □验证性 ■设计性 □论证性 一、实验目的和要求 二、实验主要仪器和设备 三、实验原理 四、实验方案设计、实验方法 五、实验步骤 六、实验结果分析 七、实验结论 八、思考题 注:①1-4 项内容为实验预习内容,学生须在进实验室之前完成。
else Y<="ZZZ";
end if; END PROCESS P1; END sample;
2. 器件及管脚逻分配图
管脚分配情况如图,所选器件为 EPM7032AELCC44-4 3. 仿真波形
8 线-3 线优先编码器的仿真波形如下图,从波形可以得出,输入输出 满足前文真值表,电路功能达到设计要求
1) 原文件存储…..
2) 利用 FILE\Project\Set Project…
3. 设计编译 ….
4. 器件选择及管脚分配 …..
5. 设计仿真 …..
6. 时序分析 …..
7. 编程下载(可选)….
六、实验结果与分析
1. VHDL 源程序清单
LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY ENCODER IS
合、仿真等的技巧。
二、实验主要仪器和设备
1. 计算机及操作系统
2. MAX+Plus II 或 Quartus II 软件
3. 编程电缆(可选)
三、实验原理
1. 真值表
8 线-3 线优先编码器的真值表如下:
输入
输出
Sel I0 I1 I2 I3 I4 I5 I6 I7 Y0 Y1 Y2 YS YEX
PORT(I : IN STD_LOGIC_VECTOR(7 DOWNTO 0); SEL: IN STD_LOGIC; Y : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); YS,YEX : INOUT STD_LOGIC);
END ENCODER; ARCHITECTURE sample OF ENCODER IS
elsif I(5)='0' then Y<="010"; YS <='1'; YEX<='0';
elsif I(4)='0' then Y<="011"; YS <='1'; YEX<='0';
elsif I(3)='0' then Y<="100"; YS <='1'; YEX<='0';
elsif I(2)='0' then Y<="101"; YS <='1'; YEX<='0';
相关文档
最新文档