毕业设计157十五路抢答器设计
多路抢答器设计报告
数电课程设计报告——多路抢答器的设计姓名班级学号指导老师1、引言在电视和学校中我们会经常看到一些抢答的节目,如果要是让抢答者用举手等方法,这在某种程度上会因为主持人的主观误断造成比赛的不公平性,比赛中为了准确、公正、直观地判断出第一抢答者,所设计的抢答器通常由数码显示、灯光、音响等多种手段指示出第一抢答者。
为了使这种不公平不发生,只有靠电子产品的高准确性来保障抢答的公平性。
2、设计任务及系统功能简介基本功能(1)设计一个可容纳8组参赛的数字式抢答器,每组设一个按钮,供抢答使用。
(2)抢答器具有第一信号鉴别和锁存功能,使除第一抢答者外的按钮不起作用。
(3)设置一个主持人“复位”按钮。
扩展功能(4)设置一个计分电路,每组开始预制100分,由主持人计分,答对一次加10分,答错一次减10分。
3、原理方框图如图3-1所示为抢答器的结构框图,它由主体电路和扩展电路两部分组成。
主体电路完成基本的抢答功能,即开始抢答后,当选手按动抢答键时,能显示选手的编号,同时能封锁输入电路,禁止其他选手抢答。
扩展电路完成检测数码管工作情况。
图3-1 抢答器结构框图4、实现的原理与电路抢答器总体方框图如图4-1所示为总体方框图。
其工作原理为:接通电源后,后台工作人员将检测开关S置“检测”状态,数码管在正常清除下,显示“”;当后台工作人员将检测开关S置“抢答”状态,主持按系统清除按键,抢答器处于禁止状态,编号显示器灭灯;主持人松开,宣布“开始”,抢答器工作。
选手按动抢答按键,抢答器完成:优先判断、编号锁存、编号显示。
当一轮抢答之后,优先抢答选手的编号一直保持到主持人将系统清除为止。
如果再次抢答必须由主持人再次按动系统清除按键。
图4-1 总体方框图单元电路设计总电路设计如图4-2所示。
本抢答器使用优先编码器74LS148、锁存器 74LS279和译码显示器74LS48实现数显抢答的功能,与其他抢答器电路相比,有结构简单、成本低、制作方便的优点。
毕业设计(论文)智力竞赛抢答器
教学单位电子电气工程系学生学号编号本科毕业设计题目学生姓名专业名称电子信息工程指导教师2011 年月日目录一、设计正文中文摘要 (Ⅱ)英文摘要 (Ⅲ)正文目录 (Ⅳ)二、附录1. 设计任务书2. 设计中期检查报告3. 指导教师指导记录表4. 设计结题报告5. 成绩评定及答辩评议6. 设计答辩过程记录The design of intelligence answering racer systemAbstract:Intelligence answering racer is the product that is essential equipment in various competitions, which is at home and abroad are more useful, moreover, its development is also fast. From the beginning of having only responder and lock function of a circuit, and now with the countdown, timing, automatically (or manually) reset, alarm (audible alert signal, in some ways embodies with music), the LED display, luminescent keys and other technical functions merger, which illustrates its various function and rapid development. In the design, the electric circuit and designing thought of an intelligence answering racer based on the common-used series of 74 IC with 8-wire is introduced, and its function is also described. The answering race’s function includes timing, counting, and alarming, besides the basic function of an answering racer. The host sets the provided time for the answering race through the time-setting switch, after this the system will count down the time automatically. If anybody answer the question on time, the counting of time will stop; If nobody answer the question on time, the alarm will give out some sound, helping the host know the race in this turn is of no use, so the function of alarming is achieved. The design program which the design adopts is simple and direct-viewing, only using a few TTL doors to achieve that the anchor can control the whole electric circui t. The alarm electric circuit can be controlled by integrated single steady state .We can choose the existing chip to replace the chip we create by ourselves, that not only realizes the anticipated function, that also reduces the wiring, causes the probability which mistake is engendered drop greatly. The composition of electric circuit selects 74 series chips, economical and practical, stable is reliable, it is suitable for the large-scale production.Keywords: Intelligence answering racer;8-wire;Design,;Timing; Control目录1绪论 (1)2 设计任务及方案 (2)2.1 设计要求 (2)2.1.1 设计要求1 (2)2.1.2 设计要求2 (2)2.2 设计方案的选择 (2)设计思想与设计原理 (4)3 单元电路的设计 (5)抢答部分电路设计 (5)优先编码器74LS148 (5)74LS148功能真值表 (6)锁存器74LS279 (7)七段显示译码器74LS48 (9)74LS48七段译码驱动器功能表 (9)秒脉冲产生电路设计 (13)定时部分电路 (16)3.3.1 十进制同步加减计数器74LS192 (17)定时部分电路原理及设计 (19)3.4 报警电路设计 (20)时序控制电路 (21)单稳态触发器74LS121 (22)时序控制电路原理及设计 (23)4总体电路的设计 (25)5设计方案的论证 (28)6结束语 (28)参考文献 (29)谢辞 (30)1 绪论智力竞赛是一种生动活泼的教育方式,而抢答就是智力竞赛中一种非常常见的答题方式。
数字式竞赛抢答器设计报告
数字式竞赛抢答器设计报告实验日期:学院:班级:姓名:学号:1、设计任务与要求(1)设计一个可容纳7组参赛的数字式抢答器,每组设一个按钮,供抢答使用。
(2)抢答器具有第一信号鉴别和锁存功能,使除第一抢答者外的按钮不起作用。
(3)设置一个主持人“复位”按钮。
(4)主持人复位后,开始抢答,第一信号鉴别锁存电路得到信号后,由指示灯显示抢答组的编号,同时扬声器发出2~3秒的音响。
选做扩展功能:(5)设置一个计分电路,每组开始预制100分,由主持人计分,答对一次加10分,答错一次减10分。
2、设计原理定时抢答器的总体框图如图1所示,它由主体电路和扩展电路两部分构成,主体电路完成基本的抢答功能,即开始抢答后,当选手按动抢答键时,能显示选手的编号,同时能封锁输入电路,禁止其他选手抢答。
扩展电路完成各选手的得分显示功能。
定时抢答器的工作过程是:接通电源时,主持人将开关置于“清除”位置,抢答器处于禁止工作状态,编号显示器灭灯;抢答开始时,主持人将控制开关拨到“开始”位置,扬声器给出声响提示,抢答器处于工作状态,这时,抢答器完成以下工作:(1)优先编码器电路立即分辨出抢答者编号,并由锁存器进行锁存,然后由译码显示电路显示编号;(2)扬声器发出短暂声响,提醒主持人注意;(3)控制电路要对输入编码电路进行封锁,避免其他选手再次进行抢答;(4)当选手将问题回答完毕,主持人操作计分开关,计分电路采用十进制加/减计数器、数码管显示。
本轮抢答完毕,主持人操作控制开关,使系统回复到禁止工作状态,以便进行下一轮抢答。
单元电路设计:(1)抢答电路抢答电路包括抢答按钮、优先编码电路、锁存器、译码显示电路。
抢答电路的功能有两个:一是能分辨出选手按键的先后,并锁存优先抢答者的编号,供译码显示电路用;二是要使其他选手的按键操作无效。
1)抢答按钮电路抢答按钮电路由8个按钮开关及限流电阻所组成,如图2所示。
其供电电源选5V与系统电源一致。
限流电阻的确定,一方面要考虑开关断开时,要保证加到编码器(TTL电路)输入端的高电平大于器件所需的最低高电平(如2V);另一方面又要考虑开关闭合时,不至于有太大电流以增加电源消耗。
(可参照这个)抢答器的设计(6显示)
序言电子技术和微型计算机的迅速发展,促进微型计算机测量和控制技术的迅速发展和广泛应用,单片机<单片微型计算机)的应用已经渗透到国民经济的各个部门和领域,它起到了越来越重要的作用。
单片微型计算机就是将中央处理单元、存储器、定时/计数器和多种接口都集成到一块集成电路芯片上的微型计算机。
因此一块芯片就构成了一台计算机。
它已成为工业控制领域、智能仪器仪表、尖端武器、日常生活中最广泛使用的计算机。
随着我国经济和文化事业的发展,在很多公开竞争场合要求有公正的竞争裁决,诸如证券、股票交易及各种智力竞赛等,因此出现了抢答器。
抢答器一般是由很多电路组成的,线路复杂,可靠性不高,功能也比较简单,特别是当抢答路数很多时,实现起来就更为困难。
因此我们设计了以单片机为核心的新型智能的抢答器,在保留了原始抢答器的基本功能的同时又增加一系列的实用功能。
并简化其电路结构。
控制系统的三个模块为:显示模块、存储模块、抢答开关模块。
该系统通过开关电路四个按键输入抢答信号,利用1602液晶屏来完成显示功能,用按键来让选手进行抢答,在液晶屏上显示抢答最快的号码及时间,从而实现整个抢答过程。
本文主要介绍了单片机抢答器设计及工作原理,以及它的实际用途。
系统工作原理本系统采用A T89C52单片机作为核心。
工作时,用按键通过开关电路输入各路的抢答信号,经单片机的处理,输出控制信号,单片机控制的智能抢答器设,计,二十世纪跨越了三个“电”的时代,即电气时代、电子时代和现已进入的电脑时代。
不过,这种电脑,通常是指个人计算机,简称PC机。
它由主机、键盘、显示器等组成。
还有一类计算机,大多数人却不怎么熟悉。
这种计算机就是把智能赋予各种机械的单片机<亦称微控制器)。
顾名思义,这种计算机的最小系统只用了一片集成电路,即可进行简单运算和控制。
因为它体积小,通常都藏在被控机械的“肚子”里。
它在整个装置中,起着有如人类头脑的作用,它出了毛病,整个装置就瘫痪了。
课程设计报告简易抢答器
课程设计报告简易抢答器.docxC51程序设计课程设计报告书题目:简易抢答器班级:学号:姓名:成绩:一、绪言当今的社会竞争日益激烈,选拔人才,评选优胜,知识竞赛之类的活动愈加频繁,那么也就必然离不开抢答器。
因此抢答器是机关学校、电视台等单位开展智力竞赛活动必不可少的设备,通过抢答者的按键、数码显示等能准确、公正、直观地判断出优先抢答者。
本产品采用了数字显示器直接指示,自动锁存显示结果,并自动复位的设计思想,由数字电路以及外围电路组成,分为八路抢答;在抢答同时附有声音输出接口,提示主持人此时已完成这次的抢答。
不仅如此,为了方便不同场合的智力竞赛活动,为需要定时答题者提供可调计时器,无需人工参与。
与其他抢答器电路相比较有分辨时间极短、结构清晰、成本低、易操作、制作方便等优点。
(一)设计任务(简要说明设计题目的目的、意义、内容等),人才选拔,评选择优的活动越加频繁,而在这些活动当中,往往分为几组选手参加,针对主持人提出的问题,如果用举手的方式抢答,往往会因主持人判断的误差,造成比赛的不公平性。
本着公平公正的原则,就需要有一种稳定、准确的工具,因此数字竞赛器应运而生,由于其准确性高、实用性强,所以得到迅速推广,从最初的益智类节目,广泛应用到各类活动、娱乐节目中。
通过课题设计一个八路抢答器与可调定时器,运用所学数字电子电路的知识进行理论设计、安装调试、后期制作、分析总结等环节,以提高在电子技术方面的实践技能和科学作风,学习掌握工程设计的方法和组织实践的基本技能。
(二)提出方案及方案论证(这两个部分可分开也可合并,主要是就自己的课程设计题目提出一个或几个解决方案,并就不同的方案加以论证,从中出最佳的一个方案)1、抢答器最多可供8名选手参赛,编号为18号,各队分别用一个按钮(分别为S0S7)控制,并设置一个系统清零和抢答控制开关S,该开关由主持人控制。
2、抢答器具有数据锁存功能,并将锁存数据用LED数码管显示出来,只能由主持人进行清零。
15路无线抢答器简单介绍
15路无线抢答器摘要15路无线抢答器主要由抢答无线发射机和接收主机电路组成.抢答无线发射器电路主要有NE555等元件组成,通过其多谐振荡器输出的脉冲信号使光电耦合器导通,光电耦合器内部的晶体连接DTMF编码器MK5087线端。
抢答电路的功能有两个:一是能分辨选手按键的先后,并锁存优先抢答者的编号,哄译码显示电路用;二是要使其他选手的按键操作无效。
选用优先编码74Lsl48和Rs锁存器74Ls279可以完成上述功能。
而接受主机除了可显示抢答号码之外还具有抢答倒计时和抢答犯规提示功能。
在抢答设计部分,采用数显,主持人具有清零功能,只有清零后,才能开始进行抢答后具有锁定功能,并进行声警.关键词发射接受抢答报警4.1 引言科技的飞速发展,技术的不断更新换代,现代化社会的每个角落中都存在着有关科技方面的信息,经济和科技的发展几乎可以达到同步,同样在信息时代的社会中,电子行业也是科技含量很高的,作为新世代,跨世纪的大学生来讲,并且自己所学的专业是有关电子方面的,我们有责任把这门课程学好,学到它的精华之处,把所学到的知识投入到发展速度快的社会中,增添更多的光彩,使国家的科学在此基础上有更大的突破,超越其它的国家,为自己的祖国和现代化建设尽自己微薄的力量。
4.2 设计要求及分析在设计要求中要实现的是15路无线的抢答,抢答器的设计是各式各样的,分无线和有线的,无线的设计更优于有线的,该电路的设计分为发射和接受两部分电路构成,发射电路采用MK5087集成块,该集成块目前较为广泛,信号经MK5087处理成的串行数据输出,再经过成品的发射块T630输出的数据再经T631发射调制后经内置天线发射出去;接受电路是采用YN9101 CD4544,CD4011,CD4001等一些用途较为广泛的集成块,由发射电路发出的信号经过接收后再经DTMF解码电路及显示电路输出串行数据,并由输出的高电平使其产生报警,采用555的单稳态实现报警,若再次抢答,只需主持人再次按键即可实现无线抢答,在次要注意的是在驱动显示时,要通过限流电阻,避免流过数码管的电流过大,导致损坏,15路抢答器的实现最主要的就是发射和接受电路,以上便可实现抢答的功能。
抢答器的设计与制作(五篇范例)
抢答器的设计与制作(五篇范例)第一篇:抢答器的设计与制作抢答器的设计与制作抢答器是竞赛问答中一种常用的必备装置,从原理上讲,它是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。
从有利于学习的角度考虑,这里主要介绍以中小规模集成电路和PLD器件设计抢答器的方法。
1抢答器的基本组成及工作原理1.1抢答器的组成抢答器的一般构成框图如图1.1所示。
它主要由开关阵列电路、触发锁存电路、编码器、7段显示器几部分组成。
下面逐一给予介绍。
图1.1抢答器的组成框图(1)开关阵列电路该电路由多路开关所组成,每一竞赛者与一组开关相对应。
开关应为常开型,当按下开关时,开关闭合;当松开开关时,开关自动弹出断开。
(2)触发锁存电路当某一开关首先按下时,触发锁存电路被触发,在输出端产生相应的开关电平信息,同时为防止其它开关随后触发而产生紊乱,最先产生的输出电平变化又反过来将触发电路锁定。
若有多个开关同时按下时,则在它们之间存在着随机竞争的问题,结果可能是它们中的任一个产生有效输出。
(3)编码器编码器的作用是将某一开关信息转化为相应的8421BCD码,以提供数字显示电路所需要的编码输入。
(4)7段显示译码器译码驱动电路将编码器输出的8421BCD码转换为数码管需要的逻辑状态,并且为保证数码管正常工作提供足够的工作电流。
(5)数码显示器数码管通常有发光二极管(LED)数码管和液晶(LCD)数码管。
本设计提供的为LED数码管。
1.2抢答器的工作原理(1)开关阵列电路图1.2所示为8路开关阵列电路,从图上可以看出其结构非常简单。
电路中,R1~R8为上拉和限流电阻。
当任一开关按下时,相应的输出为低电平,否则为高电平。
图1.2开关阵列电路(2)触发锁存电路图1.3所示为8路触发锁存电路。
图中,74HC373为8D锁存器,一开始,当所有开关均未按下时,锁存器输出全为高电平,经8输入与非门和非门后的反馈信号仍为高电平,该信号作为锁存器使能端控制信号,使锁存器处于等待接收触发输入状态;当任一开关按下时,输出信号中必有一路为低电平,则反馈信号变为低电平,锁存器刚刚接收到的开关被锁存,这时其它开关信息的输入将被封锁。
毕业设计157湖南商学院4人智力竞赛抢答器
4人智力竞赛抢答器摘要:数字抢答器由主体电路与扩展电路组成。
优先编码电路、锁存器、译码电路将参赛队的输入信号在显示器上输出;主持人按开始按钮示意开始,以上两部分组成主体电路。
通过定时电路实现计时功能,构成扩展电路。
经过布线、焊接、调试等工作后数字抢答器成形。
在抢答电路中利用一个优先编码器译出最先选手再抢答。
当选手问答完成后,主持人将系统恢复至零。
关键词:抢答、计时1、设计内容及要求:1. 设计内容抢到答题权的选手的编号并经LED显示器显示出来,同时还要封锁电路以防其他:本课题要求设计一台可供4名选手参加比赛的智力竞赛抢答器。
2. 设计要求:(1)4名选手编号为;1,2,3,4。
各有一个抢答按钮,按钮的编号与选手的编号对应,也分别为1,2,3,4。
(2)给主持人设置一个控制按钮,用来控制系统清零(编号显示数码管灭灯)和抢答的开始。
(3)抢答器具有数据锁存和显示的功能。
抢答开始后,若有选手按动抢答按钮,改选手编号立即锁存,并在编号显示器上显示该编号,同时扬声器给出音响提示,同时封锁输入编码电路,禁止其他选手抢答。
优先抢答选手的编号一直保持到主持人将系统清零为止。
(4)抢答器具有定时(9秒)抢答的功能。
当主持人按下开始按钮后,要求定时器开始倒计时,并用定时显示器显示倒计时时间,同时扬声器发出音响,音响持续0.5秒。
参赛选手在设定时间(9秒)内抢答,抢答有效,扬声器发出音响,音响持续0.5秒,同时定时器停止倒计时,编号显示器上显示选手的编号,定时显示器上显示剩余抢答时间,并保持到主持人将系统清零为止。
(5)如果定时抢答时间已到,却没有选手抢答时,本次抢答无效。
系统扬声器报警(音响持续0.5秒),并封锁输入编码电路,禁止选手超时后抢答,时间显示器显示0。
(6)石英晶体振荡器产生频率为1Hz的脉冲信号,作为定时计数器的CP 信号。
2、电路工作原理:电路由脉冲产生电路,锁存电路,编码及译码显示电路,倒计时电路和音响产生电路组成。
基于PLC控制的抢答器毕业设计(完整版)
基于PLC 控制的抢答器毕业设计李晓宁焦作大学机电工程学院基于PLC控制的抢答器毕业设计专业名称:应用电子技术学生姓名:导师姓名:职称:讲师焦作大学机电工程学院2012年 12 月中图分类号:密级:UDC:单位代码:基于PLC控制的抢答器设计Based on PLC control responder design焦作大学机电工程学院摘要PLC的应用领域已经拓宽到了各个领域,在日常生活中,智能抢答器广泛的应用于各种竞赛和抢答场合。
由于PLC系统的抢答器相对稳定,所以设想可以利用PLC进行抢答器的设计。
开始抢答前,主持人首先通过复位按钮实现电路复位,在提问之后,打开选择开关启动定时器。
在抢答限时内,当某一组抢先按下抢答按钮后,对应的辅助继电器接通,同时切断其它抢答回路,实现互锁。
抢答成功后,辅助继电器接通,启动音效电路和某分台指示灯,驱动外部数码显示器显示抢答成功组号。
抢答成功后,同时启动定时器,当定时器计时结束,启动音效电路和指示灯提示回答时间到。
如果在限时内无人抢答,则启动音效电路和总台指示灯。
关键词抢答器;可编程控制器;ABSTRACTPLC application field has been broaden from various fields, in daily life, intelligent vies to answer first device widely used in various competitions and vies to answer first occasion. Due to the PLC system responder relative stability, so imagine can use PLC for the design of the responder.Before start vies to answer first, the first through the reset button realize circuit reset, the questions later, open the selector switch start timer. In vies to answer first time, when a group of preemptive press vies to answer first button, the corresponding auxiliary relay on, at the same time cut off other vies to answer first circuit, realize interlock. Vies to answer first after the success of the auxiliary relay on, start sound circuit and some points table lamp, drive external digital display shows vies to answer first successful group number. After the success of the vies to answer first, and the launch of the timer, when the timer timing over, start sound circuit and light hint time to answer. If the time no contest, will enable audio circuit and desk lamp.Key word:responder; PLC (programmable logic controller);目录1 绪论 (1)1.1 PLC的认识 (1)1.2 PLC的应用和特点 (1)2 设计目的及要求 (3)2.1 设计目的 (3)2.2 基本要求 (3)3 硬件电路设计 (4)3.1 PLC工作原理 (4)3.2 PLC机型选择步骤与原则 (6)3.3 PLC的容量包括I/O点数和用户存储容量两个方面 (8)3.4 控制要求分析 (8)3.5 抢答电路分析 (8)3.6 程序流程图 (10)4 软件设计 (11)4.1 I/O分配表 (11)4.2根据控制要求进行梯形图设计 (12)5 仿真与调试 (22)5.1 仿真软件GX Developer8.0概要及特点 (22)5.2 系统的仿真 (22)5.3 工作过程分析 (23)致谢 (24)附录 (25)参考文献 (26)1 绪论1.1 PLC的认识PLC是专为在工业环境下应用而设计的一种数字运算操作的电子装置,是带有存储器,可以编制程序的控制器。
抢答器设计及程序
抢答器设计及程序一、简要说明在进行智力竞赛抢答题比赛时,在一定时间内,各参赛者考虑好答案后都想抢先答题。
如果没有合适的设备,有时难以分清他们的先后,使主持人感到为难。
为了使比赛能顺利进行,需要有一个能判断抢答先后的设备,我们将它称为智力竞赛抢答器。
二、设计要求1.最多可容纳15名选手或15个代表队参加比赛,他们的编号分别为1到15,各用一个抢答按钮,其编号与参赛者的号码一一对应。
此外,还有一个按钮给主持人用来清零,主持人清零后才可进行下一次抢答。
2.抢答器具有数据锁存功能,并将所锁存的数据用LED数码管显示出来。
在主持人将抢答器清零后,若有参赛者按抢答按钮,数码管立即显示出最先动作的选手的编号,抢答器对参赛选手动作的先后有很强的分辨能力,即较他们动作的先后只相差几毫秒,抢答器也能分辨出来。
数码管不显示后动作选手的编号,只显示先动作选手的编号,并保持到主持人清零为止。
3.在各抢答按钮为常态时,主持人可用清零按钮将数码管变为零状态,直至有人使用抢答按钮为止。
抢答时间设为10秒。
在10秒后若没有参赛者按抢答按钮,抢答按钮无效。
并保持到主持人清零为止。
三、设计提示1.输入输出信号输出显示的位扫描时钟信号可以作为键盘输入的检测扫描信号。
10秒定时计数器的时钟信号可以选2Hz的时钟。
复位信号用来使10秒定时器和键盘编码器清零。
15个按键输入信号应进行编码。
A—G数码管段驱动信号。
SEG0,SEGl 数码管位驱动信号。
2.系统功能按下异步复位键,10秒定时器和键盘编码器清零。
放开异步复位健后,启动定时器,并允许键盘编码器扫描信号输入端,如在10秒内发现有输入信号,将其编码输出,同时使定时器停止计时;否则,停止扫描编码和定时,直到再次按下异步复位健键。
把16进制编码转换为十进制码,经译码后显示。
3.设计框图如图:四、程序代码library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity answer isport(KEY_IN: in std_logic_vector(15 downto 1);CLEAR: in std_logic;SCANCLK: in std_logic;CLK1S: in std_logic;LED_OUT: out std_logic_vector(6 downto 0);SCAN_OUT: out std_logic;SOUND_OUT: out std_logic);end answer;architecture rtl of answer issignal KEY_CODE: integer range 0 to 15;signal KEY_CODE_REG: integer range 0 to 15;signal KEY_EN: std_logic;signal NUM1: integer range 0 to 9;signal NUM2: integer range 0 to 9;signal KEY_EN1,KEY_EN2: std_logic;signal KEY_IN1,KEY_IN2,KEY_INS: std_logic_vector(15 downto 1); signal HEX: integer range 0 to 9;signal TIME_CNT: std_logic_vector(3 downto 0);beginprocess(KEY_EN,KEY_IN,SCANCLK,CLEAR)beginif CLEAR = '0' thenKEY_CODE_REG<=0;elsif SCANCLK'event and SCANCLK = '1' thenif KEY_CODE_REG = 0 thenKEY_CODE_REG<=KEY_CODE;end if;end if;end process;process(SCANCLK,CLEAR,KEY_IN)beginif SCANCLK'event and SCANCLK = '1' thenKEY_IN2 <= KEY_IN1;KEY_IN1 <= KEY_IN;end if;end process;KEY_INS<=not KEY_IN2 or KEY_IN1;KEY_CODE<=0 when KEY_EN = '0' else1 when KEY_INS(1)='0' else2 when KEY_INS(2)='0' else3 when KEY_INS(3)='0' else4 when KEY_INS(4)='0' else5 when KEY_INS(5)='0' else6 when KEY_INS(6)='0' else7 when KEY_INS(7)='0' else8 when KEY_INS(8)='0' else9 when KEY_INS(9)='0' else10 when KEY_INS(10)='0' else11 when KEY_INS(11)='0' else12 when KEY_INS(12)='0' else13 when KEY_INS(13)='0' else14 when KEY_INS(14)='0' else15 when KEY_INS(15)='0' else0 ;process(CLK1S,CLEAR,KEY_EN)beginif CLEAR = '0' thenTIME_CNT <= "0000";elsif CLK1S'event and CLK1S = '1' thenif KEY_EN='1' thenTIME_CNT<=TIME_CNT + 1;end if;end if;end process;KEY_EN<='1' when KEY_CODE_REG = 0 and TIME_CNT<=9 else '0';process(CLK1S,CLEAR,KEY_EN)beginif CLEAR = '0' thenKEY_EN1 <= '1';KEY_EN2 <= '1';elsif CLK1S'event and CLK1S = '1' thenKEY_EN2 <= KEY_EN1;KEY_EN1 <= KEY_EN;end if;end process;SOUND_OUT<=SCANCLK when KEY_EN1='0' and KEY_EN2='1' else '0';with HEX selectLED_OUT<="0000110" when 1,"1011011" when 2,"1001111" when 3,"1100110" when 4,"1101101" when 5,"1111101" when 6,"0000111" when 7,"1111111" when 8,"1101111" when 9,"0111111" when OTHERS;HEX<= NUM1 when SCANCLK='0' else NUM2;NUM2<=1 when KEY_CODE_REG>9 ELSE 0;NUM1<=KEY_CODE_REG when KEY_CODE_REG<=9 ELSE KEY_CODE_REG-10;SCAN_OUT <= SCANCLK;end rtl;。
竞赛抢答器的设计与实现
竞赛抢答器的设计与实现[摘要]智力竞赛是一种生动活泼的教育方式,而竞赛抢答器是答题竞赛游戏中经常要用到的电子设备,利用它可以公平的给予每一个答题者公平的答题机会和答题时间。
数字抢答器由主体电路与扩展电路组成。
优先编码电路、锁存器、译码电路将参赛队的输入信号在显示器上输出,用控制电路和主持人开关启动报警电路,以上两部分组成主体电路。
通过定时电路和译码电路将秒脉冲产生的信号在显示器上输出实现计时功能,构成扩展电路。
抢答器具有第一个抢答信号的鉴别和数据锁存、显示的功能。
抢答开始后,若有选手按抢答按钮,则该选手指示灯亮,并在数码管上显示相应编号,扬声器发出音响提示。
同时,电路应具备自锁功能,禁止其他选手再抢答,优先抢答选手的编号一直保持到主持人将系统清零为止。
抢答器具有计分、显示功能。
预置分数可由主持人设定,并显示在每名选手的计分牌上,选手答对加10分,答错扣10分。
抢答器具有定时抢答的功能。
一次抢答的时间由主持人设定,在主持人发出抢答指令后,定时器立即进行减计时,并在显示器上显示,同时扬声器发出短暂声响。
[关键词]抢答;鉴别;计分Contest Design and Implementation of Responder[Abstract]A quiz is a lively way of education, while Contest Responder is the answer to the contest the game often use electronic equipment, which allows you a fair answer given to each person a fair chance to answer and answer time. Digital Responder from the main circuit and expansion circuit. Priority encoder circuit, latch, decoder circuit teams input signal on the monitor output, with control circuitry and the host switch to start alarm circuit, the above two parts of the main circuit. Through timing circuit and decoding circuit will second pulse signals generated by the output display to achieve timing functions, constitute the expansion of the circuit.Responder with the first one to answer in the signal identification and data latches, display function. To answer in the start, if players press to answer in the button, then the player indicator light, and the corresponding number of digital tube display, speakers, audio prompts given. At the same time, the circuit should have self-locking function, and then answer in his place against the other players to give priority to answer in his player's number has remained the host until the system is cleared. Responder has the points display. Pre-scores can be host settings, and displayed in each player's scoreboard, the player correct answers plus 10 points, got the wrong answer deducted 10 points. Responder has a Timing Responder function. An answer in the time set by the host, the host to issue to answer in order, the timer immediately by time, and displayed on the monitor at the same time issued a short sound speaker.[Key words]Responder;Identification;Scoring目录[摘要] .............................................................................................................................. I I [Abstract] .. (III)1.绪论 .................................................................................................................................. - 1 -1.1 课题研究的相关背景 ........................................................................................... - 1 -1.2 课题的目的与意义 ............................................................................................... - 1 -1.3 课题研究的内容 ................................................................................................... - 1 -1.4 国内外研究现状 ................................................................................................... - 2 -1.5 抢答器目前存在的主要问题 ............................................................................... - 2 -2.竞赛抢答器的设计与实现 .............................................................................................. - 3 -2.1 方案设计一 ........................................................................................................... - 3 -2.2 方案设计二 ........................................................................................................... - 4 -2.3 方案比较 ............................................................................................................... - 6 -2.4 方案设计原理 ....................................................................................................... - 6 -3.竞赛抢答器的实现原理 .................................................................................................. - 7 -3.1 EDA原理简介 ........................................................................................................ - 7 -3.2 EDA开发工具 ........................................................................................................ - 8 -3.3 EDA开发步骤 ........................................................................................................ - 9 -4.单元模块设计 .................................................................................................................- 10 -4.1 抢答鉴别单元 ......................................................................................................- 10 -4.2 计分器电路单元 ..................................................................................................- 10 -4.3 计时器电路单元 ..................................................................................................- 10 -4.4 译码器电路单元 ..................................................................................................- 10 -5.系统的有关仿真 .............................................................................................................- 12 -5.1 抢答鉴别单元 ......................................................................................................- 12 -5.2 计分器电路单元 ..................................................................................................- 12 -5.3 计时器电路单元 ..................................................................................................- 13 -5.4 译码器电路单元 ..................................................................................................- 13 -5.5 模块的封装 ..........................................................................................................- 14 -6.硬件测试 .........................................................................................................................- 15 -6.1 编程下载 ..............................................................................................................- 15 -6.2 试验箱的调试 ......................................................................................................- 17 - 结论 .............................................................................................................................- 18 - 致谢 .............................................................................................................................- 20 - 参考文献 .............................................................................................................................- 21 - 附录 A .............................................................................................................................- 22 - 附录 B .............................................................................................................................- 29 - 附录 C .............................................................................................................................- 30 -1.绪论1.1 课题研究的相关背景抢答器是一种应用非常广泛的设备,在各种竞赛、抢答场合中,它能迅速、客观地分辨出最先获得发言权的选手。
2015年课程设计-抢答器课程设计-智力竞赛抢答器电路设计(优秀)
北京理工大学计算机学院电子技术实习与课程设计题目智力竞赛抢答器电路设计学生姓名学号20152325039学院计算机学院专业指导教师张群二O一O年 6 月1日第一章序言智力竞赛抢答计时器是一名的裁判员,它的任务是从若干名竞赛者中确定出最先的抢答者,并要求竞赛者在规定的时间里回答完问题。
第二章设计任务书一、设计题目:智力竞赛抢答计时器二、技术要求:1.设计一个三人参加的智力竞赛抢答计时器。
2.当有某一参赛者首先按下抢答开关键,相应显示灯亮并伴有声响。
此时,抢答器不再接收其他输入信号。
3.电路具有回答问题时间控制功能。
要求回答问题的时间小于100秒(显示为0~99),时间显示采用倒计时的方式。
当达到限定时间时,发出声响以示警告。
三、给定条件及器件1.要求电路主要选用中规模COMS集成电路CC4000系列。
2.电源电压为5~10V。
3.本设计要求在数字电路实验箱上完成。
四、设计内容1. 电路各部门的组成和工作原理。
2. 元器件的选取及其电路图和功能。
3. 电路各部分的调试方法。
4. 在整机电路的设计调试过程中,遇到什么问题,其原因及解决的方法。
第三章电路组成和工作原理根据上面所说的功能要求,智力竞赛抢答计事系统的组成框图如下图所示。
它主要有六部分组成:一、抢答器——智力竞赛抢答器的核心。
当参赛者的任意一位首先按下抢答开关时,抢答器即刻接受该信号,指使相应发光二极管亮(或者响电路发出声音),与此同时,封锁住其他参赛者的输入信号。
二、抢答控制器———由三个开关组成。
三名参赛者各控制一个,拨动开关使相应控制端的信号为高电平或低电平。
三、清零装置——供比赛开始前裁判远使用。
它能保证比赛前触发器统一清零,避免电路的误动作和抢答过程的不公平。
四、显示、声响电路——比赛开始,当某一参赛者按下抢答器开关时,触发器就接受该信号,在封锁其他开关信号的同时,使该路的发光二极管发出亮光和蜂鸣器发出声响,以引起人们的注意。
五、计时、显示、声响电路——是对抢答者回答问题时间进行控制电路。
抢答器电路设计报告
抢答器电路设计报告目录一、设计任务和要求 (2)二、设计的方案的选择与论证 (2)三、电路设计计算与分析 (3)1.抢答电路的设计 (3)2.定时电路的设计 (6)3.报警电路的设计 (9)4.计分电路的设计 (13)四.电路仿真 (14)1.仿真软件的介绍 (14)2.设计困难、解决方案 (14)3.总电路的仿真 (15)五.总结及心得 (17)六.元器件表 (17)七 .参考文献 (18)一、设计任务和要求(1)可容纳八组参赛的数字式抢答器。
(2)电路具有第一抢答信号的鉴别与保持功能。
(3)抢答优先者声光提示。
(4)回答计时与计分。
二、设计的方案的选择与论证我的设计选择的是数字电路设计接通电源后,主持人将开关拨到"清零"状态,抢答器处于禁止状态,编号显示器灭灯,定时器显示设定时间;主持人将开关置;开始"状态,宣布"开始"抢答器工作。
定时器倒计时,扬声器给出声响提示。
选手在定时时间内抢答时,抢答器完成:优先判断、编号锁存、编号显示、扬声器提示。
当一轮抢答之后,禁止二次抢答、定时器显示剩余时间。
如果再次抢答必须由主持人再次操作"清除"和"开始"状态开关。
三、电路设计计算与分析1.抢答电路的设计优先编码器是8线输入3线输出的二进制译码器,其作用是将输入I0~I7这8个状态分别编成8个二进制输出。
其功能如下表所示。
由表中可看出74LS148的输入为低电平有效。
优先级别从I7至I递降。
另外它有输入使能Ys和YEX。
①ST=0允许编码,ST=1禁止编码,此时输出Y2Y1Y=111②Ys 主要用于多个编码器的级联控制,即Ys总是接在优先级别低的相邻编码器的ST端。
当优先级别高的编码器允许编码,而无输入申请时,Ys=0,从而允许优先级别低的相邻编码器工作;反之若优先级别高的编码器有编码时,Ys=1,禁止相邻级别低的编码器工作。
简易数字抢答器设计
设计题目:(简易数字式竞赛抢答器设计)简易数字式竞赛抢答器设计一、设计任务及要求:在许多比赛活动中,为了准确、公正、直观地判断出第一抢答者,通常设置一台抢答器。
通过抢答器的数显、灯光和音响等手段指示出第一抢答者。
同时,还可以设置定时、记分、犯规及奖惩记录等多种功能。
(一)具体要求:设计制作一个可容纳3个组参赛的抢答器。
1、每组设置一个抢答开关,分别为S0,S1,S2(高电平,即逻辑“1”有效)。
2、设置主持人控制键:J0是用于控制整个系统清零的按钮(高电平,即逻辑“1”有效),J l是用于发布抢答开始命令的开关(高电平,即逻辑“1”有效)。
3、设计抢答定时(20秒)电路,且计时起点与抢答命令J1同步,抢答者必须在定时20秒内进行抢答,超时而无人抢答则题目作废。
4、设计第一抢答信号鉴别和锁存功能。
在主待人发布抢答命令之后,第一抢答者按下抢答开关后,电路应记忆下第一抢答者的组别,并封锁其他各组的按钮,即其他任何组按键都不会使电路响应。
(二)输入输出说明:1、输入信号:四个控制开关S0、S1、S2、J1 和1个按钮J0。
2、外部输入脉冲信号时钟源CP(2Hz),经适当分频后供控制器和定时器使用。
3、定时时间输出接到外部的2个8421BCD数码管M1、M2上,显示定时时间(19~00)。
4、输出以发光二极管LED方式指示第一抢答者,各组的发光二极管分别是L0,L1,L2。
其具体框图如下:根据如上说明,本设计的主要任务和设计要求是:1、按照现代数字系统的Top-Down模块化设计方法,提出数字式竞赛抢答器设计系统的整体设计方案,并进行正确的功能划分,分别提出并实现控制器、定时器、第一信号鉴别等模块化子系统的设计方案。
2、在ModelSim的EDA设计环境中,完成系统的顶层设计、各子系统的模块化设计。
分别完成各个基于V erilog HDL语言实现的子模块(包括控制电路、定时电路、第一信号鉴别电路)的逻辑功能仿真。
毕业设计方案PLC声光智能抢答器设计方案
职业技术学院毕业设计题目PLC声光智能抢答器设计系别专业班级姓名学号指导教师日期设计任务书设计题目:PLC声光智能抢答器设计设计要求:1、抢答器可同时供6组以下选手参加比赛。
2、给竞赛主持人设置了3个控制按钮,用来控制开始、复位、停止。
3、每当主持人发出开始抢答指令后,选手按下抢答器按钮,则数码管就显示编号,同时绿色指示灯亮,音响电路发出声响提示信号<持续三秒),选手答题完毕后,由主持人按下复位按钮,系统开始下一轮抢答。
4、违规抢答:若选手在未开始抢答时提前抢答了,则视为违规,违规时数码管显示其编号同时红灯亮音响电路发出声响。
5、抢答限时:当主持人按下抢答器按钮时定时器T0开始计时<设定30秒)若30秒限制到时仍无人抢答则黄灯亮音响电路发出声响,以示选手放弃该题。
6、答题限时:在抢答成功后,主持人按下答题计时按钮,同时数码管显示答题倒计时时间,此设定为50秒,选手必须在设定时间内完成答题,否则,音响电路发出超时报警信号。
设计进度要求:第一周:确定题目,查阅相关资料。
第二周:根据设计要求分析PLC声光智能抢答器的工作原理。
第三周: 收集PLC声光智能抢答器的资料,对硬件进行设计。
第四周:从整体出发对PLC声光智能抢答器软件进行设计。
第五、六周:进行上机调试程序,找出问题,进行修改,并改进设计。
第七、八周:撰写毕业设计论问,进行毕业答辩。
指导教师<签名)摘要本次设计是利用PLC<Programmable Logic Controller)对六路声光智能抢答器进行控制。
考虑到只是对六组智能抢答器进行控制,则输入端口需要10个,输出端口需要30个,所以需要有一个CPU226的模块和两个EM222数字量扩展模块,考虑到要实现声光功能需要两个喇叭和三个指示灯。
由于抢答时要显示组号和倒计时故还需要三个数码管,以上的器件价格不贵并且容易买到,另外PLC具有可靠性高、体积小、通用性、使用方便等优点,因此,我决定选用SIMATIC S7-200 系列的CPU226和数字量扩展模块EM222作为本次设计的PLC。
抢答器的设计和功能实现
引言:抢答器在现实社会中有着很多的应用,而且设计多样化,对学生来说比较容易上手,故此次我选择的设计题目是抢答器。
在设计开始,我查了不少关于抢答器的资料,其大体分为2种,一种是纯硬件实现,另一种是单片机实现。
本次课程设计采用单片机实现。
实现了多路抢答器的抢答和停止等功能。
1.方案论证1.1用纯硬件实现方案用纯硬件实现方案来实现抢答器功能,用到数字电路中的一些例如逻辑电路等等来和相关的硬件来实现抢答器的功能。
1.2用单片机方案用单片机方案来实现抢答器功能,用到单片机最小系统和存储器扩展设计、接口技术应用设计。
其中,单片机最小系统主要要求学生熟悉单片机的内部结构和引脚功能、引脚的使用、复位电路、时钟电路、4个并行接口和一个串行接口的实际应用,从而可构成最小应用系统,实现抢答器的功能。
1.3最终方案选择考虑到用纯硬件方案来设计抢答器,需要用到数字电路中的很多相关知识,例如逻辑电路等等,对于本专业的学生来说有一定的难度,而且比较麻烦,不容易上手,成本较高,故最终我选择了用单片机来设计实现抢答器。
2.硬件详细设计2.1芯片的选择抢答器电路的核心是89C51单片机,其内部带有4KB的FLASH ROM,无需外扩程序存储器;抢答器没有大量的运算和暂存数据现有的128B篇内RAM已经能满足容量需求,故不需外扩片外RAM,系统配有8位8段数码显示管,管采用共阴数码管,作为时钟的显示输出。
2.2复位电路的设计该复位电路采用上电自动复位和手动复位两种复位方式,图中网络标号所指9连接到单片机的复位引脚。
要实现复位只需在,51系列单片机的RESET引脚上加上5ms的高电平就可以了。
上电复位是利用电容的充电来实现的,即上电瞬间RESET端的电位与Vcc相同,随着电容上储能增加,电容电压也逐渐增大,充电电流减小,RESET端的电位。
这样就会建立一个脉冲电压,调节电容与电阻的大小可对脉冲的持续时间进行调节。
通常若采用12MHz的晶振时,复位元件参数为22μF的电解电容和10kΩ的电阻。
多路智力抢答器—毕业设计
目录前言 (1)1 多路智力抢答器的介绍 (1)1.1多路智力抢答器的作用 (2)1.2多路智力抢答器的分类 (2)1.3多路智力抢答器的特性 (2)2多路智力抢答器的设计 (2)2.1功能要求 (2)2.2多路智力抢答器的设计步骤以及要求 (3)3多路智力抢答器的框架设计 (3)3.1多路智力抢答器电路的设计 (3)3.2多路智力抢答器的设计 (3)4 智力抢答器基本电路设计 (4)5定时电路设计 (8)5.1原理及设计 (8)5.2多谐振荡器 (9)5.3计时器 (10)5.4译码器 (10)5.5定时器的工作原理 (11)6报警系统 (12)6.1报警系统的构成 (12)6.2报警系统的工作原理 (12)7 时序控制电路设计 (13)7.1时序控制电路的三个功能 (13)7.2时序控制电路的设计图 (13)7.3时序控制电路的设计原理 (14)8.元器件介绍 (14)8.1 74LS148功能介绍 (14)8.2 74LS192功能介绍 (15)9.仿真电路实验 (16)9.1 Proteus仿真电路图 (16)10.实物制作 (17)10.1多路智力抢答器原理图 (17)10.2 多路智力抢答器PCB制图 (17)10.3 焊接与调试 (18)10.3.1焊接部分注意事项 (18)10.3.2调试部分注意事项 (18)11.结束语 (18)附录 (21)参考文献 (22)多路智力抢答器前言近年来跟着电子技术生长飞快,讯息已经逐步渗透到我们生活的社会里的每个角落,同时人才高素质和信息化是信息时代的基本要求,高等教育持续发展,我们的基本生活水平也在提高,同时也提高了我们对精神文明的要求,也就是说电子领域需要更高的发展才能满足人们的需求。
通信电子信息学是一门广泛的应用在各个角落的科学技术,迅速的在发展。
如果想要学会并学好这门学科,第一是系统的学习该学科的基础理论,第二要训练技术,第三就是培养学生的几大能力对理论联系实际;实际操作的能力;设计电路的能力;综合分析实验的结果以及正确处理数据、排除和检查数据故障的能力。
抢答器电路设计报告
抢答器电路设计实训报告一、题目功能及要求(1)抢答器可同时供4名选手或4个代表队比赛,分别用4个按钮S1 –S4表示。
(2)设置一个系统清除和抢答控制开关SK ,该开关由主持人控制。
(3)抢答器具有锁存与显示灯指示功能。
即选手按动按钮,锁存相应的发光二极管,扬声器发出声响提示。
选手抢答实行优先锁存,优先抢答选手的指示灯一直保持到主持人将系统复位为止。
二、总体设计方案1、设计思路选手按动开关晶闸管发光二极管叮咚电路 主持人复位选手按动抢答器的按键之后,会给出高电平信号,此信号控制晶闸管的受控极G使其导通,进而来控制发光二极管与叮咚电路。
那么,抢答后发光二极管变亮,叮咚电路发生。
主持人按动复位键以后,去掉了晶闸管两端的电压,晶闸管截止,电路复位,方能进入下一轮的抢答。
图中,利用晶闸管来实现优先抢答。
叮咚电路作为提示音。
发光二极管用来指示抢答到的选手。
主持人的复位键的作用相当于“清零”,用来消除晶闸管的“记忆”。
三、单元电路设计a)电路的结构设计1、抢答部分该电路的工作原理:以SB1为例,当SB1按下后,给出高电平,二极管D1导通,晶闸管的受控极G同时也为高电平,晶闸管导通。
此时,就有电压加在发光二极管LED1上面,那么则指示一号选手抢到。
当一号选手抢到后,B点的点位也同时升高,产生基极电流,三极管导通,A点即相当于接地。
于是,其他选手抢答时,抢答开关所控制的晶闸管G无法得到高电平,那么所控制的发光二极管不发光,即实现了优先抢答。
2、提示音部分该芯片的工作原理及接法:如上图所示,按图中接线从左到右编号1、2、3、4。
其中,1、4分别接电源的正负极,用以给该芯片供电。
三极管用来放大此芯片所存储的“叮”“咚”声。
2是该芯片的触发端,电路接通后,只有给2一个高电平,此芯片才工作。
电路接好后,按动电键,会发出连续的三声“叮”“咚”声。
3、主持人复位部分如下图所示, SK即为复位开关。
此开关为“动断”开关,即没有按动时为连通状态,按时为断开状态,按后又恢复连通状态。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
十五路抢答器设计一、设计的目的通过本课题设计,掌握数字电路系统的设计方法。
二、设计的内容要求1.设计一个智力抢答器,可同时供15名选手参加比赛,对应15个抢答按钮。
2.主持人设置一个控制开关,用来控制系统得清零(显示数码灭)和抢答开始。
3.抢答器具有数据锁存功能,抢答开始后,若有选手抢答,编号立即锁存,LED显示选手编号。
同时扬声器给出音响提示,此外,要封锁输入电路。
4.(扩展功能)定时抢答,主持人设定时间,启动开始后,定时器立即减计时,并用显示器显示。
5.参赛选手在设定的时间内抢答,抢答有效,定时器停止工作,显示选手编号和抢答时刻的时间,并保持到主持人将系统清零为止。
6.如果定时抢答的时间已到,却没有选手抢答,本次抢答无效,并封锁输入电路,报警,禁止超时抢答。
三、参考元件74LS192 NE555 74LS48 74LS279 74LS148 74LS00 74LS121发光二极管数码显示器电阻电容四、设计步骤与要求1.拟定组成框图;2.设计各单元电路,要求步线整齐、美观、便于线路连接调试;3.仿真测试逻辑功能,已满足设计功能要求;4.画出整机逻辑电路图;5.写出设计论文。
五、设计成果1.设计说明书,不少于6000字,用A4纸打印装订;1.设计电路总图一张,用电路设计软件绘图;2.电子文档六、主要参考资料数字电子教材数字电子电子电路设计相关资料集成电路产品手册目录引言 (1)一、设计任务与要求 (1)(一) 基本功能 (1)(二) 扩展功能 (1)二、抢答器组成框图 (2)(一) 抢答器组成总框图 (2)(二) 各个电路的组成 (2)(三) 抢答器组成具体框图 (3)三、单元电路 (3)(一) 抢答电路 (3)1. 主要功能 (3)2. 电路原理图 (4)3. 子电路 (4)(二) 定时电路 (8)1.主要功能 (8)2.电路原理图 (8)3.子电路 (8)(三) 报警电路 (11)1. 主要功能 (11)2. 电路原理图……………………………………………………………...11.(四) 控制电路 (12)1. 主要功能 (12)2.子电路 (12)四、15路抢答器设计总结 (14)五.、致谢 (15)六、参考文献 (15)七、总电路原理图 (16)附件摘要:数字抢答器由主体电路与扩展电路组成。
优先编码电路、锁存器、译码电路将参赛队的输入信号在显示器上输出;用控制电路和主持人开关启动报警电路,以上两部分组成主体电路。
通过定时电路和译码电路将秒脉冲产生的信号在显示器上输出实现计时功能,构成扩展电路。
经过布线、焊接、调试等工作后数字抢答器成形。
关键字:抢答电路; 定时电路; 报警电路引言抢答器同时供16名选手或16个代表队比赛,设置一个系统清除和抢答控制开关S,该开关由主持人控制。
抢答器具有锁存与显示功能。
即选手按动按钮,锁存相应的编号,并在LED数码管上显示,同时扬声器发出报警声响提示。
选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。
抢答器具有定时抢答功能,且一次抢答的时间由主持人设定(如30秒)。
当主持人启动"开始"键后,定时器进行减计时,同时扬声器发出短暂的声响,声响持续的时间0.5秒左右。
参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答的时间,并保持到主持人将系统清除为止。
如果定时时间已到,无人抢答,本次抢答无效,系统报警并禁止抢答,定时显示器上显示00。
一、设计任务与要求(一)基本功能1.可同时15名选手参加比赛,他们的编号分别是1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,各用一个抢答按钮,按钮的编号与选手的编号相对应,分别是S1,S2,S3,S4,S5,S6,S7,S8,S9,S10,S11,S12,S13,S14,S15。
2.节目主持人设置一个控制开关,用来控制系统的清零和抢答的开始。
3.数字抢答器应具有数码锁存、显示功能。
抢答开始后,若有选手按动抢答按钮,编号立即锁存,并在LED数码管上显示选手的编号,同时扬声器给出音响提示。
此外,要封锁输入电路,禁止其他选手抢答。
优先抢答选手的编号一直保持到主持人将系统清零为止。
(二)扩展功能1.定时抢答功能。
抢答器定时为30 s,启动起始键后,定时器开始工作,立即减计,并在显示器上显示出来,同时扬声器要短暂报警。
2.参赛选手在设定的时间内抢答(30s),抢答用效,定时器停止工作,显示器上显示选手的编号和抢倕时刻的时间,并保持到主持人将系统清零为止。
3.当定时抢答的时间已到,还没有选手抢答进,本次抢答无效,系统短暂报警,并封锁输入电路,禁止选手超时后抢答,时间显示器上显示00。
二、抢答器组成框图(一)抢答器组成总框图图2.1.1 抢答器组成框图(二)、各个电路的组成1. 照框图,根据功能指标的要求,可以确定各个电路的组成。
1) 抢答电路由优先编码电路74LS148,锁存器74LS279组成。
2) 定时电路由秒脉冲产生电路NE555,同步计数器74LS192组成。
3) 报警电路由脉冲产生电路NE555,扬声器组成。
4) 控制电路用单稳态触发器74LS121和一些门电路实现。
2. 图示的定时抢答器的工作过程是:接通电源时,节目主持人将开关置于“清除”位置,抢答器处于禁止工作状态,编号显示器灭灯,定时显示器显示设定的时间,当节目主持人宣布抢答题目后,说一声“抢答开始”,同时将控制开关拨到“开始”位置,扬声器给出声响提示,抢答器处于工作状态,定时器倒计时。
当定时时间到,却没有选手抢答时,系统报警,并封锁输入电路,禁止选手超时后抢答。
当选手在定时时间内按动抢答键时,抢答器要完成以下四项工作:1) 优先编码电路立即分辨出抢答者的编号,并由锁存器进行锁存,然后由译码显示电路显示编号;2) 扬声器发出短暂声响,提醒节目主持人注意;3) 控制电路要对输入编码电路进行封锁,避免其他选手再次进行抢答; 4) 控制电路要使定时器停止工作,时间显示器上显示剩余的抢答时间,并保持到主持人将系统清零为止。
当选手将问题回答完毕,主持人操作控制开关,使系统回复到禁止工作状态,以便进行下一轮抢答。
(三)、抢答器组成具体框图图2.3.1抢答器组成具体框图三、单元电路(一) 抢答电路1.主要功能抢答电路的功能有两个:一是能分辨出选手按键的先后,并锁存优先抢答者的编号,供译码显示电路用;二是要使其他选手的按键操作无效。
选用优先编码器74LS148和RS 锁存器74LS279可以完成上述功能,其电路组成如下图所示。
其工作原理是:当主持人控制开关处于“清除”位置时,RS 触发器的R 端为低电平,输出端(4Q~1Q )全部抢答按钮优先编码电路74LS148主持人控制开关时序控制电路报警电路NE555秒脉冲产生电路NE555同步计数器74LS192显示电路(七段显示器)译码电路74LS48单稳态触发器74LS121二进制/BCD 编码转化锁存器74LS279译码电路74LS48显示电路(七段显示器)为低电平,于是74LS48的BI=0,显示器灭灯;74LS148的选通输入端ST=0,机密第 7 页2022-4-2874LS148处于工作状态,此时锁存电路不工作,当主持人开关拨到“开始”位置时,优先编码电路和锁存电路同时处于工作状态,即抢答器处于等待工作状态,等待输入端S1~S15输入信号,当有选手将键按下时(如按下S5),74LS148的输出Y2Y1Y0=010,YEX=0,经RS 锁存器后,CTR=1,BI=1,74LS279处于工作状态,4Q3Q2Q=101,经74LS48译码后,显示器显示出“5”。
此外,CTR=1,使74LS148的ST 端为高电平,74LS148处于禁止工作状态,封锁了其他按键的输入。
当按下的键松开后,74LS148的YEX 为高电平,但由于CTR 维持高电平不变,所以74LS148仍处于禁止工作状态,其他按键的输入信号不会被吸收,这就保证了抢答者的优先性以及抢答电路的准确性。
当优先抢答者回答完问题后,由主持人操作控制开关S ,使抢答电路复位,以便进行下一轮抢答。
2.电路原理图S7SW-PBS6SW-PB S5SW-PB S4SW-PB S3SW-PB S2SW-PB S1SW-PB S15SW-PB S14SW-PB S13SW-PB S12SW-PB S11SW-PB S10SW-PB S9SW-PB S8A_1A_2A_7404A_1A_2U?A_7404A_1A_2U?A_7404A_7404A_2A_3A_1U2A_7408A_2A_3A_1U1A_7408A_2A_3A_1U1A_7408A B C D BIN RBINLTN O_AO_B O_C O_D O_E O_FO_G RBON U1A_7448A B C D BIN RBINLTN O_AO_B O_C O_D O_E O_FO_G RBON U2A_7448U1A1B1CIN SUM1COUTA2A3A4B2B3B4SUM2SUM3SUM4U1A_74283R1N S11N S12N R2N S2N R3N S31N S32N R4N S4NQ1Q2Q3Q4U1A_74279R1N S11N S12N R2N S2N R3N S31N S32N R4N S4N Q1Q2Q3Q4U2A_74279U3A_0N A_1N A_2N A_3N A_4N A_5N A_6N A_7N EIN A0N A1N A2NGSNEONU1A_74148A_0N A_1N A_2N A_3N A_4N A_5N A_6N A_7N EINA0N A1N A2NGSNEONU2A_74148A_1A_3A_2U3A_7400A_1A_3A_2A_7400A 1f 2g 3e 4d 5A6c 8DP7b 9a 10D1Dpy Amber-CAA 1f 2g 3e 4d 5A6c 8DP7b 9a 10D2Dpy Amber-CAD3A_2A_3A_1A_7408A_2A_3A_1U0A_7408+515×10KA_1A_3A_2A_7400+5图3.1.2.1抢答电路原理图3.子电路1)优先编码电路根据要求,用两片优先编码器74LS148级连组成。
由74LS148的功能表可以看出当高位片处于工作状态且没有输入时,Y2,Y1, Y0, YEX 都是1,Y S 为0 ,低位片也处于工作状态。
当高位片有输入时,YS=1 =ST ,所以低位片处于封锁状态,同时两片的输出YS=1,高位片YEX 为0,低位片的YEX 为1。
当低位片用输入时,高位片的YS=0,低位片的YS=1,高位片YEX 为1,低位片的YEX 为0。