1616点阵显示综合实验

合集下载

1616点阵课程设计

1616点阵课程设计

16 16点阵课程设计一、课程目标知识目标:1. 学生能理解16点阵的基本概念,掌握点阵在计算机图形学中的应用。

2. 学生能运用16点阵进行图案设计和创作,了解点阵与二进制之间的关系。

3. 学生了解点阵显示原理,掌握点阵屏幕的基本操作。

技能目标:1. 学生能够运用16点阵软件或编程工具进行图案设计,具备基本的点阵编程能力。

2. 学生能够通过小组合作,共同解决点阵图案设计中的问题,提高沟通与协作能力。

3. 学生能够运用所学知识,创新设计具有个性和特色的点阵作品。

情感态度价值观目标:1. 学生培养对计算机图形学的兴趣,激发探索精神,提高学习积极性。

2. 学生在创作过程中,体验团队合作的力量,培养集体荣誉感。

3. 学生通过点阵创作,培养审美观念,提高对美的感知能力。

课程性质:本课程为信息技术课程,以实践操作为主,注重培养学生的动手能力和创新能力。

学生特点:六年级学生具备一定的计算机操作基础,对新鲜事物充满好奇心,喜欢动手实践。

教学要求:教师应注重理论与实践相结合,以学生为主体,引导他们主动探索,培养他们的创新意识和团队协作能力。

在教学过程中,关注学生的个体差异,提供有针对性的指导。

通过本课程的学习,使学生能够达到上述课程目标,实现学习成果的分解和落实。

二、教学内容本章节教学内容主要包括以下三个方面:1. 点阵基础知识:- 点阵概念及其在计算机图形学中的应用。

- 点阵与二进制的关系。

- 点阵显示原理。

2. 点阵图案设计与创作:- 点阵软件或编程工具的使用。

- 点阵图案设计的基本方法。

- 点阵作品创作实例分析。

3. 点阵编程与应用:- 点阵屏幕的基本操作。

- 点阵编程基础。

- 点阵作品展示与评价。

教学大纲安排如下:第一课时:点阵基础知识- 引导学生了解点阵概念,分析点阵在计算机图形学中的应用。

- 讲解点阵与二进制的关系,探讨点阵显示原理。

第二课时:点阵图案设计与创作- 介绍点阵软件或编程工具,指导学生进行图案设计。

16×16点阵显示实验 实验报告

16×16点阵显示实验  实验报告
二、实验原始数据记录
1.实验现象
当设计文件加载到目标器件后,将数字信号源模块的时钟选择为1KHZ,按下矩阵键盘的某一个键,则在数码管上显示对应的这个键标识的键值,当再按下第二个键的时候前一个键的键值在数码管上左移一位。按下“*”键则在数码管是显示“E”键值。按下“#”键在数码管上显示“F”键值。
2.实验图片记录
2)新建VHDL File(程序)
3)编译仿真
4)管脚分配
5)下载调试
2)加强对总线产生地址定位的CPLD实现方法的理解
3)掌握在FPGA中调用ROM的方法
成绩: 教师:
实验报告
一、实验内容及步骤
1.实验内容
1)通过编程实现对16×16点阵的控制
2)在点阵循环中显示“欢迎使用嵌入式SDC开发系统”这几个汉字和字符
3)运用软件对程序进行编译和仿真
2.实验步骤:
1)新建工程
成绩: 教师: 批改日期:
பைடு நூலகம்湖南科技大学
物理与电子科学学院专业实验报告
实验课程:FPGA实验原理
实验项目:16×16点阵显示实验
专 业:物理与电子科学学院
班 级:电子信息科学与技术3班
***********
学 号:**********
实验日期: 年 月 日
实验预习报告
一、实验目的及要求
1)加强点阵字符产生的显示原理和系统的16×16点阵的工作原理

单片机16×16点阵显示实验总结400字

单片机16×16点阵显示实验总结400字

单片机16×16点阵显示实验总结400字单片机16×16点阵显示实验总结这次实验我们使用单片机设计了16×16点阵显示器的驱动电路,并成功实现了在点阵上显示字符、数字和图案的功能。

下面是对本次实验的总结。

首先,我们按照实验手册的指示,采用逐行扫描的方式驱动16×16点阵显示器。

通过设置接口电路和引脚连接,将单片机与点阵电路相连,实现数据和控制信号的传输。

接着,我们编写了相应的程序代码,在单片机上进行编译和烧录,并使用示波器进行调试。

在调试过程中,我们发现了一些常见的问题,比如接口线连接错误、引脚配置错误等,及时解决这些问题,确保了实验的顺利进行。

接下来,我们开始编写点阵显示的控制程序。

通过对点阵每一个LED灯珠的亮灭状态进行控制,我们可以实现在点阵上显示不同的字符、数字和图案。

我们编写了一个字符库,其中包含了常用字符和数字的点阵码。

通过查表的方式,我们可以根据需要在点阵上显示相应的内容。

在编写程序的过程中,我们充分利用了单片机的IO口和定时器的功能,并采用了合理的算法,提高了程序的执行效率。

在实验过程中,我们遇到了一些困难和挑战。

首先,点阵显示器的像素较多,对于单片机的计算能力和IO口的数量有一定要求。

因此,在编写程序的过程中,我们需要注意内存和资源的使用,避免发生卡顿或者无法正常显示的情况。

其次,点阵显示器的扫描速度要求较高,需要通过设置定时器的中断来实现,以确保显示的稳定性和清晰度。

通过本次实验,我们不仅掌握了单片机的基本原理和编程技巧,还深入了解了点阵显示器的工作原理和驱动方式。

通过自主设计和编写代码,我们成功实现了在16×16点阵上显示字符、数字和图案的功能。

这不仅加深了我们对嵌入式系统的理解,还提高了我们的动手实践能力和问题解决能力。

总之,通过这次实验,我们不仅学到了很多知识,还锻炼了自己的动手能力和团队合作能力。

虽然在实验过程中遇到了一些困难,但通过不懈努力和团队合作,我们最终取得了成功。

16X16点阵显示综合实验new

16X16点阵显示综合实验new

EDA 综合课程设计(四)——16X16点阵显示综合实验16X16点阵控制接口一、 实验要求设计一个共阴16X16点阵控制接口,要求:在时钟信号的控制下,使点阵动态点亮,点亮方式自行设计,其中列选信号为16-4编码器编码输出。

控制器的引脚功能图如上图所示,其中:DIN[3..0]为显示花样模式选择,高电平有效;CLK 为时钟输入端;DOTOUT[15..0]为行驱动信号输出;SELOUT[3..0]为列选信号输出,为16-4编码信号。

图案1实现16X16点阵的16列同时从上往下依次点亮,全亮后16列又同时从下往上依次熄灭。

列选信号:采用与7段数码管的位选信号一样的处理方法,即列扫描信号频率大于24HZ 。

行驱动信号:可以采用移位的方法,可先定义一个16位的信号,若最高位置为‘1’,我们采用右移的方法,使每一位都置‘1’,这就实现依次点亮;当第0位也置‘1’后,给第0位置‘0’,二、实验内容16X16点阵控制接口引脚功能再采用左移的方法将每一位又重新置‘0’,这样就实现了反相依次熄灭,等第15位为‘0’时,又重新开始,以此循环。

对于其他的显示花样,请自行设计。

三、实验连线将CP端接时钟输出,并使输入频率约为1MHZ,DIN[3..0]分别接4位拨码开关,DOTOUT[15..0]分别接显示模块的L15~L0,SELOUT[3..0]分别接显示模块的SEL3~SEL0。

16X16点阵字符发生器一、实验目的:1、了解点阵字符的产生和显示原理。

2、了解E2PROM和16×16点阵LED的工作机理。

3、加强对于总线产生,地址定位的CPLD实现的理解。

二、硬件要求:1.主芯片EPF10K10LC84-4。

2.可变时钟源。

3.带有事先编程好字库/字符的E2PROM 2864。

4.16×16扫描LED点阵。

三、实验原理:16×16扫描LED点阵的工作原理同8位扫描数码管类似。

它有16个共阴极输出端口,每个共阴极对应有16个LED显示灯。

16×16 LED点阵显示实验

16×16 LED点阵显示实验

16×16 LED点阵显示实验一、实验目的1、了解16×16矩阵LED显示的基本原理和功能2、掌握16×16矩阵LED和单片机的硬件接口和软件设计方法二、实验说明汉字显示屏广泛应用与汽车报站器,广告屏等。

实验介绍一种实用的汉字显示屏的制作,考虑到电路元件的易购性,采用了16×16的点阵模块;汉字显示的原理我们以UCDOS中文宋体字库为例,每一个字由16行16列的点阵组成显示。

即国标汉字库中的每一个字均由256点阵来表示。

我们可以把每一个点理解为一个像素,而把每一个字的字形理解为一幅图像。

所以在这个汉字屏上不仅可以显示汉字,也可以显示在256像素范围内的任何图形。

我们以显示汉字“大”为例,来说明其扫描原理:在UCDOS中文宋体字库中,每一个字由16行16列的点阵组成显示。

如果用8位的AT89C51单片机控制,由于单片机的总线为8位,一个字需要拆分为2个部分。

一般我们把它拆分为上部和下部,上部由8*16点阵组成,下部也由8*16点阵组成。

在本例中单片机首先显示的是左上角的第一列的上半部分,即第0列的p00---p07口。

方向为p00到p07 ,显示汉字“大”时,p05点亮,由上往下排列,为p0.0 灭,p0.1 灭, p0.2 灭p0.3 灭, p0.4 灭, p0.5 亮,p0.6 灭,p0.7 灭。

即二进制00000100,转换为16进制为 04h.。

上半部第一列完成后,继续扫描下半部的第一列,为了接线的方便,我们仍设计成由上往下扫描,即从p27向p20方向扫描,从上图可以看到,这一列全部为不亮,即为00000000,16进制则为00h。

然后单片机转向上半部第二列,仍为p05点亮,为00000100,即16进制04h。

这一列完成后继续进行下半部分的扫描,p21点亮,为二进制00000010,即16进制02h. 依照这个方法,继续进行下面的扫描,一共扫描32个8位,可以得出汉字“大”。

1616点阵LED显示屏的原理与制作实验报告

1616点阵LED显示屏的原理与制作实验报告

16*16点阵LED显示屏的原理与制作实验报告一、实验目的1.学会LED点阵模块的引脚判别,学会多块LED点阵模块的拼接使用。

2.进一步了解LED点阵的显示原理。

3.了解用单片机控制LED点阵显示字符的基本原理。

4.学会根据电路图连接电路。

二、实验内容在4块8*8LED合并而成的16*16LED显示屏上显示名字。

三、实验过程1.显示屏驱动电路原理图2.程序编写#include<reg52.h>#define CCED2 0x0000 /*吴*/unsigned char code word_zai[16][2] = {/*吴CCED2 */0x00,0x84,0x00,0x84,0x80,0x44,0xBE,0x44,0xA2,0x24,0xA2,0x14,0xA2,0x0C,0xA 2,0x07,0xA2,0x0C,0xA2,0x14,0xA2,0x24,0xBE,0x64,0x80,0xC4,0x00,0x44,0x00,0x04,0x0 0,0x00};/*"吴",0*/void main(){ char scan,i,j;P0=0;P1=0;P2=0;while(1){ scan=0;for(i=0;i<16;i++){ P1=scan;for(j=0;j<50;j++) //显示五十次{ P2=word_zai[i][0] ;P0=word_zai[i][1] ;}P0=0;P2=0;scan++;}}}四、实验总结在本次的实验里,我感觉本次实验的任务对我的挑战蛮大,因为在实验中要编写一个C语言有点麻烦,需要算的数据比较多,一不小心的话可能就会导致最后的实验结果出现问题,所以要非常的仔细才行。

vhdl实验报告_16乘16点阵_列选_显示

vhdl实验报告_16乘16点阵_列选_显示

综合实践总结报告综合实践名称: EDA技术与实践综合实践地点、时间一.题目功能分析和设计实验的要求有如下三点:1.用16*16点阵的发光二极管显示字符;2.可显示字符为0~9的数字字符与A~F英文字母的大写;3.输入为四位二进制矢量;按照要求可知,LED点阵模块,共由16×16=256个LED发光二极管组成,如何在该点阵模块上显示数字和字母是本实验的关键。

先将要显示的每一幅图像画在一个16×16共256个小方格的矩形框中,再在有笔划下落处的小方格里填上“1”,无笔划处填上“0”,这样就形成了与这个汉字所对应的二进制数据在该矩形框上的分布以数字8为例,点阵分布为:0000000000000000000000000000000000011111111110000001111111111000000110000001100000011000000110000001100000011000000111111111100000011111111110000001100000011000000110000001100000011000000110000001111111111000000111111111100000000000000000000000000000000000考虑到实际物理实验平台上点阵发光二极管的原理,以下为16×16点阵LED外观图,只要其对应的X、Y轴顺向偏压,即可使LED 发亮。

例如如果想使左上角LED点亮,则Y0=1,X0=0即可。

所以我采用行列扫描的方法,用四位二进制数做列选信号(总共16列),如选中第一列,则扫描第一列之中哪些行是高电平(1),哪些行是低电平(0);为高电平的则点亮,为低电平的不亮。

(列信号都接地)。

如此,列选信号由“0000”变到“1111”时,16列扫描完毕,一个字也就出来了,列选信号重新由“0000”开始扫描。

注意扫描频率必须要足够快,才能保证显示一个数字或字母时所有灯在肉眼看来是同时在闪烁的。

微机接口实验-16x16点阵显示

微机接口实验-16x16点阵显示

实验04·LED显示器王梦硕0930*******实验目的:在理解LED点阵工作原理的基础上,实践使用点阵显示字符。

实验原理:1·点阵式显示器:发光二级管排列成矩阵,由亮与暗来产生字符或图形。

每一样的阳极连在一起,每一列的阴极连在一起,如右图所示。

点阵显示器每一列的阴极连在一起,对每一列而言相当于一个共阴显示器。

同时每一行的阳极连在一起,相当于七段显示器的比划。

可采用动态显示电路,以笔画锁存器控制行信号,以位锁存器控制列信号。

2·74HC595实验中使用两片8位输出锁存移位寄存器74HC595(三态输出、串入并出),将单片机I/O口发出的串行数据转换为并行数据LD_QA~LD_QP,作为16x16 LED点阵显示器的行线,使用另外两片8位74HC595作为16x16 LED点阵显示器的列线LD_1~LD_16。

当行输出高电平、列输出低电平时,可以点亮点阵。

74HC595的工作时序图和推荐的连接方法如下:下图中:•LD-QA~LD-QP:点阵行控制信号•LD-1~LD-16:点阵列控制信号•SER(14脚):串行数据输入端•-SCLR(10脚):低电平时将移位寄存器的数据清零。

通常将它接Vcc。

•SCK(11脚):上升沿时将串行数据移入移位寄存器。

•RCK(12脚):上升沿时移位寄存器的数据锁存入数据寄存器。

•-G(13脚): 高电平时禁止输出(高阻态)。

实验内容:在16×16LED点阵上分别用静态方式和滚屏方式显示自己的姓(行扫描)。

两个实验部分的电原理图是相同的,如下所示:1·静态方式:流程图:程序代码:L_DAT_H BIT P1.0L_DAT_L BIT P1.1L_STR BIT P1.2L_CLK BIT P1.3L_OE BIT P1.4ROWH EQU 40H ;字模信号(顺向取膜,高位在前)ROWL EQU 41HSELH EQU 42H ;行扫描信号SELL EQU 43HORG 0000HLJMP MAINORG 0100HMAIN:MOV R1, #01H ;配合字模信号MOV R2, #01H ;高字节在后,故从01开始MOV R3, #10H ;循环16次LOAD:MOV DPTR, #SEL_DATA ;存储行扫描信号MOV A, R1MOVC A, @A+DPTRMOV SELH, A ;存储高字节DEC R1 ;R1-1,指向低字节MOV A, R1MOVC A, @A+DPTRMOV SELL, A ;存储低字节INC R1INC R1INC R1 ;指向下一个高字节MOV DPTR, #ROW_DATA ;存储字模数据,过程同上MOV A, R2MOVC A, @A+DPTRMOV ROWH, ADEC R2MOV A, R2MOVC A, @A+DPTRMOV ROWL, AINC R2INC R2INC R2LCALL LATCH ;运行锁存自程序LCALL DELAY ;延时DJNZ R3, LOAD ;重复执行16次,显示所有行LJMP MAIN ;静态显示LATCH:CLR L_OE ;允许输出CLR L_STR ;为上升沿将移位寄存器数据锁存入数据寄存器MOV R4, SELH ; 做准备MOV R5, ROWH ;高位行扫描型号和字模信号MOV R6, #08H ;高低位分开读,每次读8位LATCH1:CLR L_CLK ;为上升沿读入移位寄存器做准备MOV A, R4RRC A ;移出最高位MOV R4, AMOV L_DAT_H, C ;由P1.0输出准备进入移位寄存器MOV A, R5RRC AMOV R5, AMOV L_DAT_L, C ;由P1.1输出准备进入移位寄存器SETB L_CLK ;CLK上升沿,P1.0和P1.1数据进入移位寄存器DJNZ R6, LATCH1;MOV R4, SELLMOV R5, ROWLMOV R6, #08HLATCH2: ;同上CLR L_CLKMOV A, R4RRC AMOV R4, AMOV L_DAT_H, CMOV A, R5RRC AMOV R5, AMOV L_DAT_L, CSETB L_CLKDJNZ R6, LATCH2;SETB L_STR ;STR上升沿移位寄存器数据所存入数据寄存器; 显示RETDELAY: ;延迟程序MOV R6, #02HLOOP1:MOV R7, #0F8H ;估算:1us*2*(1+2*248+2) = 998us ≈1ms LOOP2:DJNZ R7, LOOP2DJNZ R6, LOOP1;RETSEL_DA TA: ;SELECT ROWDB 80H, 00HDB 40H, 00HDB 20H, 00HDB 10H, 00HDB 08H, 00HDB 04H, 00HDB 02H, 00HDB 01H, 00HDB 00H, 80HDB 00H, 40HDB 00H, 20HDB 00H, 10HDB 00H, 08HDB 00H, 04HDB 00H, 02HDB 00H, 01HROW_DA TA:DB 0FFH, 0FFH, 80H, 03H, 0FEH, 0FFH, 0FEH, 0FFH, 0FEH, 0FFH, 0FEH, 0FFH, 0FEH, 0FFH, 0C0H, 07H;DB 0FEH, 0FFH, 0FEH, 0FFH, 0FEH, 0FFH, 0FEH, 0FFH, 0FEH, 0FFH, 0FEH, 0FFH, 00H, 01H, 0FFH, 0FFH;"王",0;END实验效果:字模效果:LED显示效果:2·滚屏方式:流程图:程序代码:L_DAT_H BIT P1.0L_DAT_L BIT P1.1L_STR BIT P1.2L_CLK BIT P1.3L_OE BIT P1.4ROWH EQU 40HROWL EQU 41HSELH EQU 42HSELL EQU 43HWAIT EQU 44H ;负责控制滚动速度ORG 0000HLJMP MAINORG 0100HMAIN:MOV R0, #01H ;每次重新滚动时初始化NEXT:MOV WAIT, #0AH ;滚动速度设置,数字越大滚动越慢ROLL:MOV A, R0 ;变换显示的第一行以完成滚动MOV R1, #01H ;行扫描信号不变MOV R2, AMOV R3, #10HLOAD:MOV DPTR, #SEL_DATA ;MOV A, R1MOVC A, @A+DPTRMOV SELH, A ;LOAD HIGH BYTEDEC R1 ;OF SELECT SIGNALMOV A, R1MOVC A, @A+DPTRMOV SELL, A ;LOAD LOW BYTEINC R1 ;OF SELECT SIGNALINC R1INC R1MOV DPTR, #ROW_DATAMOV A, R2MOVC A, @A+DPTRMOV ROWH, ADEC R2MOV A, R2MOVC A, @A+DPTRMOV ROWL, AINC R2INC R2INC R2LCALL LATCHLCALL DELAYDJNZ R3, LOAD; 以上与第一部分相同DJNZ WAIT, ROLL ;循环以延时INC R0INC R0 ;R0指向下一行,以实现滚动CJNE R0, #61H, NEXT ;所有字符显示完毕后开始新的一轮; 以下与第一部分相同LJMP MAINLATCH:CLR L_OECLR L_STRMOV R4, SELHMOV R5, ROWHMOV R6, #08HLATCH1:CLR L_CLKMOV A, R4RRC AMOV R4, AMOV L_DAT_H, CMOV A, R5RRC AMOV R5, AMOV L_DAT_L, CSETB L_CLKDJNZ R6, LATCH1;MOV R4, SELLMOV R5, ROWLMOV R6, #08HLATCH2:CLR L_CLKMOV A, R4RRC AMOV R4, AMOV L_DAT_H, CMOV A, R5RRC AMOV R5, AMOV L_DAT_L, CSETB L_CLKDJNZ R6, LATCH2;SETB L_STR;RETDELAY:MOV R6, #02HLOOP1:MOV R7, #0F8HLOOP2:DJNZ R7, LOOP2DJNZ R6, LOOP1;RETSEL_DA TA: ;SELECT ROWDB 80H, 00HDB 40H, 00HDB 20H, 00HDB 10H, 00HDB 08H, 00HDB 04H, 00HDB 02H, 00HDB 01H, 00HDB 00H, 80HDB 00H, 40HDB 00H, 20HDB 00H, 10HDB 00H, 08HDB 00H, 04HDB 00H, 02HDB 00H, 01HROW_DA TA:DB 0FFH, 0FFH, 80H, 03H, 0FEH, 0FFH, 0FEH, 0FFH, 0FEH, 0FFH, 0FEH, 0FFH, 0FEH, 0FFH, 0C0H, 07H;DB 0FEH, 0FFH, 0FEH, 0FFH, 0FEH, 0FFH, 0FEH, 0FFH, 0FEH, 0FFH, 0FEH, 0FFH, 00H, 01H, 0FFH, 0FFH;"王",0DB 0F7H, 0DFH, 0F7H, 0DFH, 81H, 03H, 0F7H, 0DFH, 0E3H, 8FH, 0D5H, 57H, 37H, 0D9H, 0FBH, 0FFH;DB 0F8H, 0FH, 0F7H, 0EFH, 0EBH, 0DFH, 0DDH, 0BFH, 0FEH, 7FH, 0FDH, 0FFH, 0F3H, 0FFH, 8FH, 0FFH;"梦",1DB 0FFH, 0FFH, 0FCH, 01H, 03H, 0DFH, 0EFH, 0BFH, 0EEH, 03H, 0DEH, 0FBH, 0C2H, 0FBH, 9AH, 0DBH;DB 9AH, 0DBH, 5AH, 0DBH, 0DAH, 0DBH, 0DAH, 0BBH, 0C3H, 0AFH, 0DBH, 77H, 0DEH,0FBH, 0FDH, 0FBH;"硕",2DB 0FFH, 0FFH, 80H, 03H, 0FEH, 0FFH, 0FEH, 0FFH, 0FEH, 0FFH, 0FEH, 0FFH, 0FEH, 0FFH, 0C0H, 07H;DB 0FEH, 0FFH, 0FEH, 0FFH, 0FEH, 0FFH, 0FEH, 0FFH, 0FEH, 0FFH, 0FEH, 0FFH, 00H, 01H, 0FFH, 0FFH;"王",0; 为了实现无间隙滚动,最后16行与最前十六行设置相同字模数据END实验效果:字模效果:LED点阵效果:实验现象与记录:1·静态显示:正确地显示了“王”字,但是很明显地:每一行的所有点亮的等亮度相同,并且对每一行而言,亮的灯越多,则每盏灯越暗。

点阵实验报告

点阵实验报告

1.实验目的(1)掌握LED16X16点阵显示与单片机接口的方法;(2)了解LED16X16点阵显示的基本原理与功能;(3)掌握LED16X16点阵显示软件编程方法。

2.点阵显示简介汉字显示屏到处可见,被广泛应用于汽车报站器,广告屏等。

本文中的16*16LED显示屏是采用4块8*8LED合并而成的,实验介绍一种实用的汉字显示屏的制作,考虑到电路元件的易购性,采用了16×16的点阵模块,汉字显示的原理我们以UCDOS中文宋体字库为例,每一个字由16行16列的点阵组成显示。

即国标汉字库中的每一个字均由256点阵来表示。

LED阵列的显示方式是按显示编码的顺序,一行一行地显示。

每一行的显示时间大约为4ms,由于人类的视觉暂留现象,将感觉到8行LED是在同时显示的。

若显示的时间太短,则亮度不够,若显示的时间太长,将会感觉到闪烁。

本文采用低电平逐行扫描,高电平输出显示信号。

即轮流给行信号输出低电平,在任意时刻只有一行发光二极管是处于可以被点亮的状态,其它行都处于熄灭状态。

3.点阵屏有两个类型,一类为共阴极(左),另一类则为共阳极(右),下图给出了两种类型的内部电路原理及相应的管脚图4 .系统电路设计(1)单片机系统及外围电路单片机采用MSC-51 或其兼容系列芯片,采用24MHZ 或更高频率晶振,以获得较高的刷新频率,时期显示更稳定。

单片机的串口与列驱动器相连,用来显示数据。

P1 口低4 位与行驱动器相连,送出行选信号;P1.5~P1.7 口则用来发送控制信号。

P0 口和P2口空着,在有必要的时候可以扩展系统的ROM 和RAM。

(2)列驱动电路列驱动电路有集成电路74HC595 构成。

它具有一个8 位串入并出的移位寄存器和一个8 位输出锁存器的结构,而且移位寄存器和输出锁存器的控制是各自独立的,可以实现在显示本行列数据的同时,传送下一行的列数据,既达到重叠处理的目的。

它的输入侧有8 个串行移位寄存器,每个移位寄存器的输出都连接一个输出锁存器。

点阵显示实验

点阵显示实验

点阵显示实验16*16点阵显示实验引言当今,数字系统的设计可以直接面向用户的需求,根据系统功能的要求,从上到下逐层完成相应的描述、综合、优化、仿真与验证,直到生成器件。

而FPGA(Field Programmable Gate Array,现场可编程门镇列)以设计灵活及速度快的特点,在数字专用集成电路的设计中得到了广泛应用。

本文介绍的汉字显示系统,将16*16点阵与FPGA巧妙结合,采用VHDL(VHSIC Hardware Description Language,硬件描述语言)进行功能描述一、点阵显示原理1点阵模块说明此设计采用4块8*8的点阵块组成16*16的点阵显示模块1.18*8点阵块工作原理如图1所示。

8*8点阵块工作方式:Q端加正电压,COM端接地时发光二管点亮。

例如,当COM8接地且Q1~Q8分别接高电平时,第一行亮。

同理,当COM7接地,Q1~Q8分别接高电平时,第二行亮。

依此类推。

当Q5端加高电平时,分别让COM1~COM8接地,第一12列亮。

其它列依此类推。

1.2 16*16点阵模块用4块8*8的点阵块组成16*16的点阵模块显示汉字,连接关系如图2所示。

Q0~Q15成为点阵块的行线,COM0~COM15形成点阵块的列线。

1.3 行列驱动由循环计数器输出经放大后的驱动点阵,形成动态扫描,分别控制一列中的每个灯,当列线发出信号后,行线同时发出数据,这样就将一个汉字由左到右分成16列。

在完成各列的同时,行线发出行数据,一个循环就可以将一个汉字完整的重现在16*16的点阵模块上。

本实验主要完成汉字字符在LED 上的显示,16*16扫描LED 点阵的工作原理与8位扫描数码管类似,只是显示的方式与结果不一样而已。

下面就本实验系统的16*16点阵的工件原理做一些简单的说明。

16*16点阵由此256个LED通过排列组合而形成16行*16列的一个矩阵式的LED 阵列,俗称16*16点阵。

16x16LED点阵实验

16x16LED点阵实验

16x16LED点阵实验实验名称:16x16 LED点阵实验实验⽬的:利⽤单⽚机I/O⼝实现LED点阵的⾏扫描动态显⽰。

实验原理:1、LED显⽰器的基本结构:七段显⽰器:将发光⼆极管封装成数码显⽰的形式。

共阳七段显⽰器:共阴七段显⽰器:点阵式显⽰器:发光⼆极管封装成点阵形式,构成不同的字符甚⾄汉字、图形。

发光⼆极管排列成矩阵,由亮与暗来产⽣字符或图形。

每⼀⾏的阳极连在⼀起,每⼀列的阴极连在⼀起。

2、点阵显⽰的原理:点阵显⽰器每⼀列的阴极连在⼀起,对每⼀列⽽⾔相当于⼀个共阴显⽰器。

同时每⼀⾏的阳极连在⼀起,相当于七段显⽰器的笔划。

这样,可以把5X7的发光⼆极管点阵看作⼀个五位显⽰器。

可采⽤动态显⽰电路,以笔划锁存器控制⾏信号,以位锁存器控制列信号。

3、实验原理图使⽤两⽚8位输出锁存移位寄存器74HC595(三态输出、串⼊并出),将单⽚机I/O⼝发出的串⾏数据转换为并⾏数据LD_QA~LD_QP,作为16×16 LED点阵显⽰器的⾏线,使⽤另外两⽚8位74HC595作为 16×16 LED点阵显⽰器的列线LD_1~LD_16。

当⾏输出⾼电平、列输出低电平时,可以点亮点阵。

74HC595:LD-QA~LD-QP:点阵⾏控制信号LD-1~LD-16:点阵列控制信号SER(14脚):串⾏数据输⼊端-SCLR(10脚):低电平时将移位寄存器的数据清零。

通常将它接Vcc。

SCK(11脚):上升沿时将串⾏数据移⼊移位寄存器。

RCK(12脚):上升沿时移位寄存器的数据锁存⼊数据寄存器。

-G(13脚): ⾼电平时禁⽌输出(⾼阻态)时序图:实验内容:在16×16LED点阵上分别⽤静态⽅式和滚屏⽅式显⽰⾃⼰的姓(⾏扫描)。

实验步骤:使⽤导线将A2区的P10~P14与C3区的L_DAT_H 、L_DAT_L、L_CLK、L_OE 、 L_STR 实验设计:电路图:(修改后加上了74HC595输出端⼝与LED点阵相连的端⼝名称)流程图:代码及注释:HL EQU 70H ;⾏信号低位内存地址LL EQU 72H ;列信号低位内存地址HD EQU P1.0 ;p1.0⼝连⾏信号输⼊端LD EQU P1.1 ;P1.1⼝连列信号输⼊端SCK EQU P1.2 ;P1.2⼝连移位寄存器OE EQU P1.3 ;P1.3⼝连使能端RCK EQU P1.4 ;P1.4⼝连锁存器ORG 8000H ;硬件仿真程序LJMP MAINORG 8100H ;硬件仿真程序MAIN:MOV DPTR,#DISPLAY ;字模表地址MOV R1,#00H ;字模表起始地址偏移量MOV HL,#01H ;⾏扫描信号的初值0001HMOV HL+1,#00HLOOP:MOV A,R1MOVC A,@A+DPTRMOV LL,A ;找到列低位数据,存⼊内存地址中INC R1MOV A,R1MOVC A,@A+DPTRMOV LL+1,A ;找到列⾼位数据,存⼊内存地址中LCALL SENDD ;调⽤传输数据的程序LCALL DELAY ;调⽤延时程序CLR C ;位处理累加器清零MOV A,HLRLC A ;左移⾏扫描信号低8位MOV HL,AMOV A,HL+1RLC A ;左移⾏扫描信号⾼8位MOV HL+1,AINC R1CJNE R1,#20H,LOOP ;判断⼀轮扫描是否结束LJMP MAINSENDD:CLR OE ;使能信号低电平有效CLR RCK ;RCK信号置0MOV R2,#08HMOV R3,LL+1 ;列信号⾼⼋位地址MOV R4,HL+1 ;⾏信号⾼⼋位地址BACK:CLR SCK ;SCK信号置0MOV A,R3RLC AMOV R3,AMOV LD,C ;列信号逐位传输MOV A,R4RLC AMOV R4,AMOV HD,C ;⾏信号逐位传输SETB SCK ;SCK信号上升沿到来,将串⾏数据移⼊移位寄存器DJNZ R2,BACK ;判断⾼8位信号是否传输完毕MOV R2,#08HMOV R3,LL ;列信号低8位地址MOV R4,HL ;⾏信号低8位地址BACK1:CLR SCK ;SCK信号置0MOV A,R3RLC AMOV R3,AMOV LD,C ;列信号逐位传输MOV A,R4RLC AMOV R4,AMOV HD,C ;⾏信号逐位传输SETB SCK ;SCK信号上升沿到来,将串⾏数据移⼊移位寄存器DJNZ R2,BACK1 ;判断低8位信号是否传输完毕SETB RCK ;RCK信号上升沿到来,移位寄存器的数据锁存⼊数据寄存器RET DELAY: ;延时⼦程序MOV R7,#50DELAY1:MOV R6,#10DELAY2:DJNZ R6,$DJNZ R7,DELAY1RETDISPLAY:DB 0xFF,0xFE,0xE0,0xEE,0xEF,0xCE,0xEF,0xF6,0xE1,0xFA,0xFD,0xFC,0xFD,0xFE,0x3D,0x80;DB 0xE0,0xFA,0xED,0xFA,0xEF,0xF6,0xEF,0xF6,0xEF,0xEE,0xEF,0x9A,0x6B,0xDC,0xF7,0xFE;"张" END 2、滚屏⽅式流程图:代码及注释:HL EQU 70H ;⾏信号低位内存地址LL EQU 72H ;列信号低位内存地址HD EQU P1.0 ;p1.0⼝连⾏信号输⼊端LD EQU P1.1 ;P1.1⼝连列信号输⼊端SCK EQU P1.2 ;P1.2⼝连移位寄存器OE EQU P1.3 ;P1.3⼝连使能端RCK EQU P1.4 ;P1.4⼝连锁存器ORG 8000H ;硬件仿真程序LJMP MAINORG 8100H ;硬件仿真程序MAIN:MOV DPTR,#DISPLAY ;字模表地址MOV R1,#00HMOV R7,#00H ;R7⽤来表⽰字模表起始位置偏移量LOOP:MOV R5,#20 ;R5⽤来表⽰延时,改变R5的值可改变滚屏速度LOOP1: MOV R6,#10H ;R6⽤来判断是否扫描完⼀轮MOV A,R7 ;将R7的值赋值给R1MOV R1,AMOV HL,#01H ;⾏扫描信号的初值0001HMOV HL+1,#00HLOOP2:MOV A,R1MOVC A,@A+DPTRMOV LL,A ;找到列低位数据,存⼊内存地址中INC R1MOV A,R1MOVC A,@A+DPTRMOV LL+1,A ;找到列⾼位数据,存⼊内存地址中LCALL SENDD ;调⽤传输数据的程序LCALL DELAY ;调⽤延时程序CLR C ;位处理累加器清零MOV A,HLRLC A ;左移⾏扫描信号低⼋位MOV HL,AMOV A,HL+1RLC A ;左移⾏扫描信号⾼⼋位MOV HL+1,AINC R1DEC R6CJNE R6,#00H,LOOP2 ;通过R6判断是否扫描完⼀轮,R6减为0,⼀轮扫描结束DJNZ R5,LOOP1 ;通过R5判断⼀帧的延时是否达到INC R7 ;改变字模表的偏移量INC R7 ;R7连续加2,相当于换⾏CJNE R7,#40H,LOOP ;判断字模表是否结束LJMP MAINSENDD:CLR OE ;使能信号低电平有效CLR RCK ;RCK信号置0MOV R2,#08HMOV R3,LL+1 ;列信号⾼8位地址MOV R4,HL+1 ;⾏信号⾼8位地址BACK:CLR SCK ;SCK信号置0MOV A,R3RLC AMOV R3,AMOV LD,C ;列信号逐位传输MOV A,R4RLC AMOV R4,AMOV HD,C ;⾏信号逐位传输SETB SCK ;SCK信号上升沿到来,将串⾏数据移⼊移位寄存器DJNZ R2,BACK ;判断⾼⼋位信号是否传输完毕MOV R2,#08HMOV R3,LL ;列信号低⼋位地址MOV R4,HL ;⾏信号低⼋位地址BACK1:CLR SCK ;SCK信号置0MOV A,R3RLC AMOV R3,AMOV LD,C ;列信号逐位传输MOV A,R4RLC AMOV R4,AMOV HD,C ;⾏信号逐位传输SETB SCK ;SCK信号上升沿到来,将串⾏数据移⼊移位寄存器DJNZ R2,BACK1 ;判断低⼋位信号是否传输完毕SETB RCK ;RCK信号上升沿到来,移位寄存器的数据锁存⼊数据寄存器RETDELAY: ;延时⼦程序MOV R2,#50DELAY1:MOV R3,#10DELAY2:DJNZ R3,$DJNZ R2,DELAY1RETDISPLAY:DB 0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFFDB 0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF;预留空⽩DB 0xFF,0xFE,0xE0,0xEE,0xEF,0xCE,0xEF,0xF6,0xE1,0xFA,0xFD,0xFC,0xFD,0xFE,0x3D,0x80;DB 0xE0,0xFA,0xED,0xFA,0xEF,0xF6,0xEF,0xF6,0xEF,0xEE,0xEF,0x9A,0x6B,0xDC,0xF7,0xFE;"张" END实验结果与分析:1、程序正确运⾏后,可看到16x16 LED点阵显⽰屏上显⽰“张”,LED灯的亮暗程度有些不均匀。

SOPCEDA综合课程设计---1616的点阵显示设计

SOPCEDA综合课程设计---1616的点阵显示设计

SOPC/EDA综合课程设计报告设计题目:16*16的点阵显示设计设计者:学号:班级:指导老师:完成时间:目录绪论 (1)第一章设计项目与分析 (2)1.1设计要求: (2)1.2设计原理 (2)1.3设计框图 (3)1.4顶层文件设计 (3)第二章一屏一屏亮灯显示 (5)2.1时序控制模块设计 (5)2.2扫描控制模块设计 (6)2.3显示控制模块设计 (7)2.4显示控制模块仿真 (15)第三章暗灯显示 (16)3.1暗灯控制模块设计 (16)3.2扫描控制模块设计 (17)3.3暗灯显示控制模块设计 (18)第四章心得体会 (27)参考文献 (28)绪论1 在信息产业中EDA产生的影响随着大规模集成电路技术和计算机技术的不断发展,在设计通信,国防,航天医学工业自动化计算机应用仪器仪表等领域的电子系统设计工作中,EDA技术的含量正以惊人的速度上升;电子类的高新技术项目的开发也逾益依赖于EDA技术的应用,即使是普通的电子产品的开发,EDA技术常常使一些原来的技术瓶颈得以轻松突破,从而使得产品的开发周期大为缩短,性能价格大幅度提高。

不言而喻。

EDA技术将迅速成为电子设计领域中的极其重要的组成部分。

2 中国国内EDZ发展情况从目前的EDA技术来看,其发展趋势是政府重视使用普及应用广泛工具多样软件功能强大。

中国EDA市场已渐趋成熟,不过大部分设计工程师面向的是PC主板的小型ASIC领域,仅有小部分的设计人工发复杂片上系统器件,为了与台湾和美国的设计工程师形成更有力的竞争,中国的设计队伍有必要购入一些最新的EDA技术。

在信息通信领域,优先发展高速宽带信息网,深亚微米集成电路,新型元器件,计算机及软件技术,第三代移动通信技术。

信息管理,信息安全技术,积极开拓以数字技术,网络技术为基础的新一代产品,发展新兴产业,培育新的经济增长点。

要大力推进制造业信息化,积极开展计算机辅助设计(CAD),计算机辅助工程(CAE),计算机辅助工艺(CAPP),j计算机辅助制造(CAM),产品数据管理(PDM),制造资源计划(MRPII),以及企业管理资源(ERP)等。

单片机点阵实验

单片机点阵实验

#include <intrins.h>
#define uint unsigned int
#define uchar unsigned char
#define p0 P0
#define p1 P1
#define p2 P2
#define p3 P3
sbit H_shcp = P3^2;
//HC595芯片
1,0x10,0x3F,0xF8,0x01,0x00,0x01,0x00,0x01,0x00,0x01,0x04,0xFF,0xFE,0x00,0x00}, {0x00,0x08,0x7F,0xFC,0x01,0x00,0x11,0x10,0x11,0x10,0x09,0x20,0x09,0x20,0x01,0x04,0xF
1mA。
.
问题:如何使点阵上大量LED显示汉字或者图形?
.

片么
机?

态?
显 示
是 否 合 理 ?
为 什
问 题 : 这 种 显 示
.
动态扫瞄:
.
.
.
.
.
.
.
.
.
基于Proteus的绿色8*8点阵上行箭头显示设计
.
取模软件:PCtoLCD2002
.
.
五、实验步骤:
1、将CPU板正确安放在CPU接口插座上,跳线帽JP2 短接在上侧。
F,0xFE,0x01,0x00,0x01,0x00,0x01,0x00,0x01,0x00,0x01,0x00,0x01,0x00,0x01,0x00}, {0x02,0x00,0x01,0x00,0x7F,0xFE,0x40,0x02,0x82,0x04,0x02,0x00,0x02,0x04,0xFF,0xFE,0x

单片机LED1616点阵显示实验

单片机LED1616点阵显示实验

LED16*16点阵显示实验目录1.概述 (2)1.1课题设计的背景 (2)1.2课程设计的要求 (3)2. 系统方案设定 (3)2.1系统总体设计 (3)2.2 AT89C51 单片机概述 (4)2.2.1 AT89C51单片机的结构 (4)2.2.2 管脚说明 (4)2.2.3 振荡器特性 (6)2.3 驱动显示方式的选择 (6)2.4 数据传输方式 (7)2.5显示屏控制部分 (8)3. 系统硬件的设计 (8)3.1系统硬件选择 (8)3.2 16*16点阵显示器的设计 (9)3.3 数据存储模块 (10)3.4数据存储电路设计 (10)4. 系统软件的设计 (11)4.1 系统主程序流程 (11)4.2数据移位传送模块 (13)4.3行扫描模块 (13)4.4串行通信模块 (13)4.5 软件的程序实现 (13)5 总结 (14)6.参考文献 (15)附录 (16)附录一LED16*16点阵控制程序清单 (17)附录二系统原理图 (22)LED16*16点阵显示实验1.概述1.1课题设计的背景单片机自20世纪70年代问世以来,以极其高的性能价格比受到人们的重视和关注,所以应用很广,发展很快。

单片机的特点是体积小、集成度高、重量轻、抗干扰能力强,对环境要求不高,价格低廉,可靠性高,灵活性好,开发较为容易。

正因为单片机有如此多的优点,因此其应用领域之广,几乎到了无孔不入的地步。

在我国,单片机已被广泛地应用在工业自动化控制、自动检测、智能仪表、智能化家用电器、航空航天系统和和国防军事、尖端武器等各个方面。

我们可以开发利用单片机系统以获得很高的经济效益。

更重要的意义是单片机的应用改变了控制系统传统的设计思想和方法。

以前采用硬件电路实现的大部分控制功能,正在用单片机通过软件方法来实现。

这种以软件结合硬件或取代硬件并能提高系统性能的控制技术称为微控制技术。

例如,本文所要论述的通过单片机来控制LED点阵显示。

16x16 LED点阵实验

16x16 LED点阵实验

实验名称:16x16 LED点阵实验实验目的:利用单片机I/O口实现LED点阵的行扫描动态显示。

实验原理:1、LED显示器的基本结构:七段显示器:将发光二极管封装成数码显示的形式。

共阳七段显示器:共阴七段显示器:点阵式显示器:发光二极管封装成点阵形式,构成不同的字符甚至汉字、图形。

发光二极管排列成矩阵,由亮与暗来产生字符或图形。

每一行的阳极连在一起,每一列的阴极连在一起。

2、点阵显示的原理:点阵显示器每一列的阴极连在一起,对每一列而言相当于一个共阴显示器。

同时每一行的阳极连在一起,相当于七段显示器的笔划。

这样,可以把5X7的发光二极管点阵看作一个五位显示器。

可采用动态显示电路,以笔划锁存器控制行信号,以位锁存器控制列信号。

3、实验原理图使用两片8位输出锁存移位寄存器74HC595(三态输出、串入并出),将单片机I/O口发出的串行数据转换为并行数据LD_QA~LD_QP,作为16×16 LED点阵显示器的行线,使用另外两片8位74HC595作为 16×16 LED点阵显示器的列线LD_1~LD_16。

当行输出高电平、列输出低电平时,可以点亮点阵。

74HC595:LD-QA~LD-QP:点阵行控制信号LD-1~LD-16:点阵列控制信号SER(14脚):串行数据输入端-SCLR(10脚):低电平时将移位寄存器的数据清零。

通常将它接Vcc。

SCK(11脚):上升沿时将串行数据移入移位寄存器。

RCK(12脚):上升沿时移位寄存器的数据锁存入数据寄存器。

-G(13脚): 高电平时禁止输出(高阻态)时序图:实验内容:在16×16LED点阵上分别用静态方式和滚屏方式显示自己的姓(行扫描)。

实验步骤:使用导线将A2区的P10~P14与C3区的L_DAT_H 、L_DAT_L、L_CLK、L_OE 、 L_STR实验设计:电路图:(修改后加上了74HC595输出端口与LED点阵相连的端口名称)1、静态方式:流程图:代码及注释:HL EQU 70H ;行信号低位内存地址LL EQU 72H ;列信号低位内存地址HD EQU P1.0 ;p1.0口连行信号输入端LD EQU P1.1 ;P1.1口连列信号输入端SCK EQU P1.2 ;P1.2口连移位寄存器OE EQU P1.3 ;P1.3口连使能端RCK EQU P1.4 ;P1.4口连锁存器ORG 8000H ;硬件仿真程序LJMP MAINORG 8100H ;硬件仿真程序MAIN:MOV DPTR,#DISPLAY ;字模表地址MOV R1,#00H ;字模表起始地址偏移量MOV HL,#01H ;行扫描信号的初值0001HMOV HL+1,#00HLOOP:MOV A,R1MOVC A,@A+DPTRMOV LL,A ;找到列低位数据,存入内存地址中INC R1MOV A,R1MOVC A,@A+DPTRMOV LL+1,A ;找到列高位数据,存入内存地址中LCALL SENDD ;调用传输数据的程序LCALL DELAY ;调用延时程序CLR C ;位处理累加器清零MOV A,HLRLC A ;左移行扫描信号低8位MOV HL,AMOV A,HL+1RLC A ;左移行扫描信号高8位MOV HL+1,AINC R1CJNE R1,#20H,LOOP ;判断一轮扫描是否结束LJMP MAINSENDD:CLR OE ;使能信号低电平有效CLR RCK ;RCK信号置0MOV R2,#08HMOV R3,LL+1 ;列信号高八位地址MOV R4,HL+1 ;行信号高八位地址BACK:CLR SCK ;SCK信号置0MOV A,R3RLC AMOV R3,AMOV LD,C ;列信号逐位传输MOV A,R4RLC AMOV R4,AMOV HD,C ;行信号逐位传输SETB SCK ;SCK信号上升沿到来,将串行数据移入移位寄存器DJNZ R2,BACK ;判断高8位信号是否传输完毕MOV R2,#08HMOV R3,LL ;列信号低8位地址MOV R4,HL ;行信号低8位地址BACK1:CLR SCK ;SCK信号置0MOV A,R3RLC AMOV R3,AMOV LD,C ;列信号逐位传输MOV A,R4RLC AMOV R4,AMOV HD,C ;行信号逐位传输SETB SCK ;SCK信号上升沿到来,将串行数据移入移位寄存器DJNZ R2,BACK1 ;判断低8位信号是否传输完毕SETB RCK ;RCK信号上升沿到来,移位寄存器的数据锁存入数据寄存器RETDELAY: ;延时子程序MOV R7,#50DELAY1:MOV R6,#10DELAY2:DJNZ R6,$DJNZ R7,DELAY1RETDISPLAY:DB 0xFF,0xFE,0xE0,0xEE,0xEF,0xCE,0xEF,0xF6,0xE1,0xFA,0xFD,0xFC,0xFD,0xFE,0x3D,0x80;DB 0xE0,0xFA,0xED,0xFA,0xEF,0xF6,0xEF,0xF6,0xEF,0xEE,0xEF,0x9A,0x6B,0xDC,0xF7,0xFE;"张" END2、滚屏方式流程图:代码及注释:HL EQU 70H ;行信号低位内存地址LL EQU 72H ;列信号低位内存地址HD EQU P1.0 ;p1.0口连行信号输入端LD EQU P1.1 ;P1.1口连列信号输入端SCK EQU P1.2 ;P1.2口连移位寄存器OE EQU P1.3 ;P1.3口连使能端RCK EQU P1.4 ;P1.4口连锁存器ORG 8000H ;硬件仿真程序LJMP MAINORG 8100H ;硬件仿真程序MAIN:MOV DPTR,#DISPLAY ;字模表地址MOV R1,#00HMOV R7,#00H ;R7用来表示字模表起始位置偏移量LOOP:MOV R5,#20 ;R5用来表示延时,改变R5的值可改变滚屏速度LOOP1:MOV R6,#10H ;R6用来判断是否扫描完一轮MOV A,R7 ;将R7的值赋值给R1MOV R1,AMOV HL,#01H ;行扫描信号的初值0001HMOV HL+1,#00HLOOP2:MOV A,R1MOVC A,@A+DPTRMOV LL,A ;找到列低位数据,存入内存地址中INC R1MOV A,R1MOVC A,@A+DPTRMOV LL+1,A ;找到列高位数据,存入内存地址中LCALL SENDD ;调用传输数据的程序LCALL DELAY ;调用延时程序CLR C ;位处理累加器清零MOV A,HLRLC A ;左移行扫描信号低八位MOV HL,AMOV A,HL+1RLC A ;左移行扫描信号高八位MOV HL+1,AINC R1DEC R6CJNE R6,#00H,LOOP2 ;通过R6判断是否扫描完一轮,R6减为0,一轮扫描结束DJNZ R5,LOOP1 ;通过R5判断一帧的延时是否达到INC R7 ;改变字模表的偏移量INC R7 ;R7连续加2,相当于换行CJNE R7,#40H,LOOP ;判断字模表是否结束LJMP MAINSENDD:CLR OE ;使能信号低电平有效CLR RCK ;RCK信号置0MOV R2,#08HMOV R3,LL+1 ;列信号高8位地址MOV R4,HL+1 ;行信号高8位地址BACK:CLR SCK ;SCK信号置0MOV A,R3RLC AMOV R3,AMOV LD,C ;列信号逐位传输MOV A,R4RLC AMOV R4,AMOV HD,C ;行信号逐位传输SETB SCK ;SCK信号上升沿到来,将串行数据移入移位寄存器DJNZ R2,BACK ;判断高八位信号是否传输完毕MOV R2,#08HMOV R3,LL ;列信号低八位地址MOV R4,HL ;行信号低八位地址BACK1:CLR SCK ;SCK信号置0MOV A,R3RLC AMOV R3,AMOV LD,C ;列信号逐位传输MOV A,R4RLC AMOV R4,AMOV HD,C ;行信号逐位传输SETB SCK ;SCK信号上升沿到来,将串行数据移入移位寄存器DJNZ R2,BACK1 ;判断低八位信号是否传输完毕SETB RCK ;RCK信号上升沿到来,移位寄存器的数据锁存入数据寄存器RETDELAY: ;延时子程序MOV R2,#50DELAY1:MOV R3,#10DELAY2:DJNZ R3,$DJNZ R2,DELAY1RETDISPLAY:DB 0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFFDB 0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF,0xFF;预留空白DB 0xFF,0xFE,0xE0,0xEE,0xEF,0xCE,0xEF,0xF6,0xE1,0xFA,0xFD,0xFC,0xFD,0xFE,0x3D,0x80;DB 0xE0,0xFA,0xED,0xFA,0xEF,0xF6,0xEF,0xF6,0xEF,0xEE,0xEF,0x9A,0x6B,0xDC,0xF7,0xFE;"张" END实验结果与分析:1、程序正确运行后,可看到16x16 LED点阵显示屏上显示“张”,LED灯的亮暗程度有些不均匀。

16X16点阵显示设计

16X16点阵显示设计

实验报告课程名称EDA技术使用教程实验名称16*16点阵显示综合实验实验类型验证(验证、综合、设计、创新)学院名称专业年级班级开出学期实验四、16*16点阵显示综合实验一、实验要求设计一个共阴16X16点阵控制接口,要求:在时钟信号的控制下,使点阵动态点亮,点亮方式为使点阵显示器显示自己的名字,其中列选信号为16-4编码器编码输出。

二、实验目的1、了解点阵字符的产生和显示原理。

2、了解E2PROM和16×16点阵LED的工作机理。

3、加强对于总线产生,地址定位的CPLD实现的理解。

三、硬件要求1.主芯片。

2.可变时钟源。

3.带有事先编程好字库/字符的E2PROM 2864。

4.16×16扫描LED点阵。

四、实验原理16×16扫描LED点阵的工作原理同8位扫描数码管类似。

它有16个共阴极输出端口,每个共阴极对应有16个LED显示灯。

所以其扫描译码地址需4位信号线。

要使16点阵上某个点亮,如第10行第4列的LED点亮,只要让列选信号为“0100”,从而选中第4列,再给第10行一个高电平,即可点亮该LED。

本实验通过FPGA芯片写入字形,产生扫描信号。

为了显示整个汉字,首先分布好汉字的排列,以行给汉字信息;然后以大于24HZ的频率扫描列,即每行逐一加高电平,根据人眼的视觉残留特性,使之形成整个汉字的显示。

五、VHDL语言程序代码library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity dots_test isport ( clk:in std_logic;dotout:out std_logic_vector(15 downto 0);selout:out std_logic_vector(3 downto 0);M :out std_logic_vector(3 downto 0));end dots_test;architecture a of dots_test issignal sel_tmp:std_logic_vector(3 downto 0);signal dot_tmp:std_logic_vector(15 downto 0);type dot_type is array (0 to 15) of std_logic_vector(15 downto 0);--定义16个长度为16位的数组,用来存放每一行的显示值signal dotout_tmp:dot_type;constant dotout_tmp1:dot_type:= --陶(x"0100",x"7904",x"49FE",x"5204",x"5524",x"61F4",x"5524",x"4854",x"4FFC",x"4844",x"6954",x"5154",x"41F4",x"4004",x"4014",x"4008");constant dotout_tmp2:dot_type:= --军(x"0000",x"7FFE",x"4202",x"8214",x"3FF8",x"0400",x"0900",x"1110",x"3FF8",x"0100",x"0104",x"FFFE",x"0100",x"0100",x"0100",x"0100");constant dotout_tmp3:dot_type:= --喜(x"0108",x"7FFC",x"0100",x"3FF8",x"0000",x"1FF0",x"1010",x"1FF0",x"0444",x"FFFE",x"0010",x"1FF8",x"1010",x"1010",x"1FF0",x"1010");signal scan_sel:std_logic_vector(3 downto 0);signal clk_value:std_logic_vector(2 downto 0):=(others => '1');constant clk_value_store:std_logic_vector(2 downto 0):=(others => '1');signal key_tmp:std_logic_vector(3 downto 0);beginM <= "0110"; --功能选择位选择16x16点阵功能connection:blockbegindotout <= dotout_tmp(conv_integer(scan_sel));selout <= scan_sel;end block connection;scan_display:block --扫描显示及时钟分频beginclock_divisor:process(clk)beginif rising_edge(clk) thenif (clk_value = clk_value_store) thenscan_sel <= scan_sel + 1;end if;clk_value <= clk_value + 1;end if;end process clock_divisor;end block scan_display;Free_Counter : Block -- 计数器-- 产生扫描信号Signal Q : STD_LOGIC_VECTOR(40 DOWNTO 0);Signal S : STD_LOGIC_VECTOR(2 DOWNTO 0); Begint1: PROCESS (clk) -- 计数器计数BeginIF clk'Event AND clk='1' thenQ <= Q+1;END IF;S <= Q(28 DOWNTO 26);if ( s=0) thenfor i in 0 to 15 loopdotout_tmp(i) <= dotout_tmp1(i);end loop;elsif ( s=1) thenfor i in 0 to 15 loopdotout_tmp(i) <= dotout_tmp2(i);end loop;elsif ( s=2) thenfor i in 0 to 15 loopdotout_tmp(i) <= dotout_tmp3(i);end loop;end if;END PROCESS t1;End Block Free_Counter;end a;六、实验结果七、结论和总结通过这次对16*16点阵字符发生器的设计与实践,让我加深了对VHDL语言的了解。

16×16点阵显示实训

16×16点阵显示实训

信息工程学院实训报告题目:16×16点阵显示实训步进电机控制实训学生姓名:范怀福学号: 1067106225专业:自动化班级: 10-2指导教师:贾玉瑛、李爱莲、梁丽、陈波、李琦实训任务书摘要1、LED点阵显示是是我们常用的的显示器件,它不仅可以实现数字、汉字的显示,同时也可以实现简单图像的显示,在我们日常生活中得到了广泛的应用,例如:广告牌,跑马灯等.2、关键词:16x16点阵显示正文一16×16点阵显示1、实训目的(1)利用单片机I/O口或以扩展锁存器的方式控制点阵显示。

(2)掌握单片机与16×16点阵块之间接口电路设计及编程。

2、实验内容利用单片机实验仿真系统,用试验箱上的的16x16点阵显示器实现所要求的的字形显示。

I/OI/O口分别提供字形代码(列码)、扫描信号(行码),凡字形代码位为“1”、行扫描信号为“1”点亮该点,否则熄灭;通过逐行扫描循环点亮字形或曲线。

3、74LS273、74LS138芯片简介3、1 74LS273芯片74LS273是一种带清除功能的8D触发器,1D~8D为数据输入端,1Q~8Q为数据输出端,正脉冲触发,低电平清除,常用作数据锁存器,地址锁存器。

D0~D7:出入;Q0~Q7:输出第一脚WR:主清除端,低电平触发,即当为低电平时,芯片被清除,输出全为0(低电平);CP(CLK):触发端,上升沿触发,即当CP从低到高电平时,D0~D7的数据通过芯片,为0时将数据锁存,D0~D7的数据不变。

3、2 74LS138芯片74LS138 为3 线-8 线译码器,其工作原理如下:①当一个选通端(E1)为高电平,另两个选通端((/E2))和/(E3))为低电平时,可将地址端(A0、A1、A2)的二进制编码在Y0至Y7对应的输出端以低电平译出。

比如:A2A1A0=110时,则Y6输出端输出低电平信号。

②利用E1、E2和E3可级联扩展成24 线译码器;若外接一个反相器还可级联扩展成32 线译码器。

16乘16点阵显示实验报告

16乘16点阵显示实验报告

实验报告实验名称: [16×16点阵显示实验] 姓名: []学号: [2011]指导教师: []实验时间: [2013年4月25日]信息与通信工程学院16×16点阵显示实验1实验要求任务1:将所给程序改正使结果为正显示;任务2:使显示四个字、八个字。

2实验原理2.1 LED显示器结构和原理1>8*8LED点阵的结构图1 8*8LED点阵结构图从图1中可以看出,8*8LED点阵共由64个发光二极管组成,每个发光二极管是放置在行线和列线的交叉点上,当对应的某一行置1高电平,且某一列置0低电平,则相应的发光二极管就亮;因此要用8*8LED点阵来显示一个字符或汉字,只需要根据字符或汉字图形中的线条或笔画,通过点亮多个发光二极管来勾勒出字符或汉字的线条或笔画就行了。

当要比较完美的显示一般的汉字,单个8*8LED点阵模块很难做到,因为LED的点数(也称为像素点)不够多,因此要显示汉字的话,需要多个8*8LED点阵拼合成一个显示屏。

假如用4个8*8LED点阵模块拼成16*16的点阵,即能满足一般汉字的显示。

但要显示信息量大的图形,则需要n个多个8*8LED点阵,拼装成一个大屏幕才行。

LED点阵显示器最大的特点是亮度高、功耗较低、寿命长、容易控制等,因此它的应用很广,常用在广场、车站、商业广告等室外的显示。

2>8*8LED点阵的封装和引脚规律64个发光二极管按照行共阳、列共阴4个一组的方式封装成一个模块,这样8*8LED 点阵模块就有8行、8列共16个引脚。

其实物图如图2,电路模块符号图如图3。

图2 8*8LED点阵实物图图3 8*8LED点阵符号图但8*8LED点阵的16个引脚并不是很有规律,千万不要想象成1~8个引脚是行,9~16个引脚是列。

而且不同产品的点阵外部引脚排列规律还可能不一样。

以下是NLB1388SRA 和LDM1388SRA两个型号点阵引脚对应行、列的关系表:行号H0 H1 H2 H3 H4 H5 H6 H7引脚号9 14 8 12 1 7 2 5列号L0 L1 L2 L3 L4 L5 L6 L7引脚号13 3 4 10 6 11 15 16 假如你买到一块新的8*8LED点阵,又没有关于它的相关资料,那你只有自己用万用表或通过VCC电源串接一个510欧姆的电阻来检测了。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

关键词 EDA、可编程逻辑器件、计数器、显示器
2 / 18

第一章 1.1 1.2 设计要求及目的 设计要求 实验目的
录(目录需修改)
5 5
when "0011" =>b:="0000000000011010"; when "0100" =>b:="0011100000110100"; when "0101" =>b:="0001000000001000"; when "0110" =>b:="0001000000010000"; when "0111" =>b:="0111111111100000"; when "1000" =>b:="0111111111111100"; when "1001" =>b:="0001000000000100"; when "1010" =>b:="0001010000000100"; when "1011" =>b:="0001100000000100"; when "1100" =>b:="0011000000000100"; when "1101" =>b:="0000000000011100"; when others =>b:="0000000000000000"; end case; when"0001"=> selout<=selout+1; case selout is when "1111" =>b:="0000000000000000"; when "0000" =>b:="0000000000000000"; when "0001" =>b:="0000000110000000"; when "0010" =>b:="0000001100000000"; when "0011" =>b:="0000011000000000"; when "0100" =>b:="0000110000010000"; when "0101" =>b:="0000000000001000"; when "0110" =>b:="0111111111111100"; when "0111" =>b:="0111111111111110"; when "1000" =>b:="0000000000000000"; when "1001" =>b:="0000110000000000"; when "1010" =>b:="0000011000000000"; when "1011" =>b:="0000001100000000"; when "1100" =>b:="0000000110000000"; when "1101" =>b:="0000000000000000"; when others =>b:="0000000000000000"; end case; when"0010"=> selout<=selout+1; case selout is when "1111" =>b:="0000000000000000"; when "0000" =>b:="0000000000000110"; when "0001" =>b:="0001100000000110"; when "0010" =>b:="1001100000000110"; when "0011" =>b:="1101100110000110"; 8 / 18
ELSIF (DOUT=15) THEN DOUT<="0000"; ELSE DOUT<=DOUT+1; END IF; END IF; END PROCESS; END SHILIU; 其仿真波形为:
2.16 点阵控制器设计
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity zhi is port( din : in std_logic_vector(3 downto 0); selout : buffer std_logic_vector(3 downto 0); clk,rst: in std_logic; dotout : out std_logic_vector(15 downto 0)); end zhi; architecture one of zhi is begin process(clk,rst,din,selout) variable b:std_logic_vector(15 downto 0); begin if rst='1'then selout<="0000"; elsif clk'event and clk='1' then case din is when "0000"=> selout<=selout+1; case selout is when "1111" =>b:="0000000000000000"; when "0000" =>b:="0110000110000010"; when "0001" =>b:="0011000011000110"; when "0010" =>b:="0001100001101100"; 7 / 18
工作内容及时间进度安排 11/29 日: 11/30 日: 上午 熟悉 EDA V 型试验系统,完成芯片下载,试验箱连线; 下午 调试程序,对错误进行修改,实现目标花样; 上午 下午 对程序进行完善,验收; 写实验报告
将前面工作写上
课程设计成果 1.与设计内容对应的软件程序 2.课程设计报告书 3.成果使用说明书 4.设计工作量要求
16 点阵显示器是一个发光二极管阵列,我们所用的是 16 个共阴极二级管组成一列,共 16 列的显示器。只要让二极管阴极接低电平,阳极接高电平,便可使这个二极管亮,同构 不同二极管的组合,便可显示要现实的内容。16 点阵显示器在日常生活中随处可见,掌握 对 16 点阵显示器控制显示的方法,是很重要的。实验是使用 FPGA 设计一个 16×16 的点 阵显示的控制器,使点阵显示器显示汉字及花样。
5 / 18
第三章 系统子程序设计
1.16 进制计数器设计
其程序如下: LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.all; ENTITY JISHU IS PORT(CLK,RST,LOAD:IN STD_LOGIC; DIN:IN STD_LOGIC_VECTOR(3 DOWNTO 0); DOUT:BUFFER STD_LOGIC_VECTOR(3 DOWNTO 0)); END JISHU; ARCHITECTURE SHILIU OF JISHU IS BEGIN PROCESS(CLK,RST,LOAD,DIN) BEGIN IF (CLK'EVENT AND CLK='1') THEN IF(RST='1')THEN DOUT<=(OTHERS=>'0'); ELSIF (LOAD='1') THEN DOUT<=DIN; 6 / 18
第二章 系统设计原理
16×16 扫描 LED 点阵只要其对应的阳极·阴极顺向偏压,即可使 LED 发亮。例如如果 想使左上角 LED 点亮,只要让其对应的阳极接高电平,阴极接低电平即可。而 16 点阵显 示器每一列都是 16 个二极管接成共阴极,共 16 列。而要使 16 点阵上某个点亮,如第 8 行 第 6 列的 LED 点亮, 只要让列选信号为“0110” ,从而选中第 6 列, 再给第 8 行一个高电平, 即可点亮该 LED.本实验通过 FPGA 芯片写入字形,产生扫描信号。为了显示整个汉字,首 先分布好汉字的排列,以行给汉字信息;然后以大于 24HZ 的频率扫描列,即每行逐一加高 电平,根据人眼的视觉残留特性,使之形成整个汉字的显示。 由于要显示不同的字,需要给一个信 DIN,对不同字不同花样进行选择。而该信号的 产生可以通过一个 16 进制计数器完成。 本设计由 16 进制计数器,行驱动和列驱动组成。输出包括了如下图所示的列选信号 SEL0—SEL3。
北 华 航 天 工 业 学 院
《EDA 技术综合设计》
课程设计报告
报告题目: 作者所在系部: 作者所在专业: 作者所在班级: 作 者 姓 名 : 指导教师姓名: 完 成 时 间 :
相关文档
最新文档