数电课程设计五人表决器设计
三人表决器、五人表决器的实验报告
三人表决器、五人表决器的实验报告
一 实验目的
1.熟悉Quartus II 软件的基本操作
2.学习使用Verilog HDL 进行设计输入
3.逐步掌握软件输入、编译、仿真的过程 二 实验说明
三人表决器真值表:
输入信号
输出信号
B1 B2 B3 u 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 1 1 0 0 0 1 0 1 1 1 1 0 1 1
1
1
1
逻辑表达式:U=
b1
b2 voter u
本次实验是要设计一个三人表决器。该电路应有两个数据输入端口b1,b2,b3,电路的输出端口为voter(u
三实验要求
1、完成三人表决器的Verilog HDL程序代码输入并进行仿真
2、采用结构描述方式和数据流描述方式
3、完成对设计电路的仿真验证
四、实验过程
(1)三人表决器:
程序代码
仿真结果
五人表决器:
程序代码
仿真结果
五、实验体会
通过三人表决器和五人表决器的设计,使我们更加熟悉Quartus 软件进行数字系统设计的步骤,以及运用Verilog HDL进行设计输入,并掌握三人表决器和五人表决器的逻辑功能和设计原理,逐步理解功能仿真和时序仿真波形。三人表决器和五人表决器大体相似,并没有太大的区别。
数电课程设计五人抢答器设计
烟台南山学院
数字电子技术课程设计题目抢答器
姓名:
所在学院:计算机与电气自动化学院
所学专业:电气工程及自动化
班级
学号
指导教师
完成时间:
目录
1 采用数字电路 (1)
2、基本原理电路 (2)
2.1原理与分析 (2)
3、电路元件的选择及参数 (2)
3.1 〈74LS148〉 (2)
3.2 〈74LS192〉 (4)
3.3 〈74LS47〉 (5)
3.4 〈NE555〉 (6)
4、电路设计 (7)
4.1单元电路设计 (7)
4.1.1抢答器电路 (7)
4.1.2 定时电路 (8)
4.1.4 时序控制电路 (10)
4.2 整体电路 (10)
1 采用数字电路
定时抢答器的总体框图如图1所示,它由主体电路和扩展电路两部分组成。主体电路完成基本的抢答功能,即开始抢答后,当选手按动抢答键时,能显示选手的编号,同时能封锁输入电路,禁止其他选手抢答。扩展电路完成定时抢答的功能。
图1所示的定时抢答器的工作过程是:接通电源时,节目主持人将开关置于“清除”位置,抢答器处于禁止工作状态,编号显示器灭灯,定时显示器显示设定的时间,当节目主持人宣布“抢答开始”,同时将控制开关拨到“开始”位置,扬声器给出声响提示,抢答器处于工作状态,定时器倒计时。当定时时间到,却没有选手抢答时,系统报警,并封锁输入电路,禁止选手超时后抢答。当选手在定时时间内按动抢答键时,抢答器要完成以下四项工作:
①优先编码电路立即分辨出抢答者的编号,并由锁存器进行锁存,然后由译码显示电路显示编号;
②扬声器发出短暂声响,提醒节目主持人注意;
③控制电路要对输入编码电路进行封锁,避免其他选手再次进行抢答;
五人表决器地设计
《数字与逻辑电路基础》课程设计
——五人表决器的设计
姓名:
学号:2015
学院:自动
任课教师:
目录 (2)
引言 (3)
摘要 (3)
实验设计原理 (3)
实验步骤 (4)
真值表 (4)
卡诺图 (5)
电路图 (7)
Multisim仿真截图 (8)
电路设计总结 (8)
引言:
现在火热的综艺节目都会请一些评委为参赛选手进行通过与否进行评判,最后给出通过与否的结果。而评委进行表决时,都会有不同结果,此时,就需要一个多人表决器,而本次设计是为五人表决结果的输出,解决了对每一位评委结果的分析,直接给出最终通过与否
的结果。
摘要:
74HC153芯片是两个四选一数选器共用两个地址码,两个四选一输出端分别输出,两个使能端分别控制,且为低电平有效。由于只有两个地址输入端,则需要构造第三个地址输入端,两四选一数选器
分区工作。
实验设计原理分析:
先用扩展法将74HC153设计构成三输入八选一数据选择器,再利用降维法实现五变量到三变量,最后加上适当的基础门电路即可实现五人多路表决器。最后用Multisim进行仿真实验。
实验步骤如下:
一.列出5人表决结果真值表。
二.由真值表画出卡诺图。
(E=0)
(E=1)
三.用降维图法,令x=E后降为四维变量A,B,C,D并且画
出卡诺图如下。
四.令x=D降维写成3维卡诺图如下。
五.由上面的卡诺图结果取相应的原件:
1.与门,或门,一个74HC153双四选一数据选择器,探针一个,五
开关合一的S1(从上至下一次代表E D C B A),高低电平。
2.对照卡诺图可得到:DE : 1C1=1C2=2C0
五人表决电路课程设计
电子技术课程设计报告书
课题名称 五人表决电路课程设计
姓 名 ***** 学 号 *****
院、系、部 物理与电信工程系 专 业 电子信息工程
指导教师 ****
****年**月**日
※※※※※※※※※ ※
※
※※ ※
※
**级电子信息工程
专业电子技术课程设计
五人表决电路课程设计
1.设计目的
(1)熟悉集成电路的引脚排列。
(2)掌握各芯片的逻辑功能及使用方法。
(3)了解表决器的工作原理。
2.设计思路
(1)设计表决器电路。
(2)设计译码电路。
(3)设计显示电路。
3.设计过程
3.1方案论证
图3.1.1 五人表决电路方框图
通过主体电路的74LS138译码器和一些组合逻辑电路,将各组赞成人数统计直接转换成二进制输出信号后,再用一个全加功能电路,把所有输出信号按特定的顺序相加,最后经过一个4511译码器和一个共阴极七段显示管显示出同意的人数。
3.2.总电路设计
3.2.1.表决电路
图3.2.1主题表决器电路
该电路完成功能:
用芯片74lLS38译码器将特殊信号(专家组)进行处理,然后通过组合逻辑门电路对信号进行处理。最后通过红、绿二极管输出电路。把译码所得到的二位
二进制数分为高位和低位,分别记为A
1、A
2
、B
1
、B
2
。把A
1
、B
1
和A
2
、 B
2
进行分
开处理。这过程分三种情况:①当专家组没人同意时(即A
1、B
1
都为0时)不管
代表组是否有人同意(即A
2、 B
2
是否为0),都亮红灯(表示会议否决),②当
专家组只有一人同意且代表组无人同意时(即A
1B
1
=01且A
2
B
2
=00),亮红灯。其
余的各种情况都亮绿灯(表示会议通过)。OE1、OE2A、OE2B为使能控制端,起到控制译码器是否能进行译码的作用。只有OE1为高电平,OE2A、OE2B均为低电平时,才能进行译码,否则不论输入为何值,每个输出端均为1 译码器可以将输入代码的状态翻译成相应的输出信号,以高、低电平的形式在各自的输出端口送出,以表示其意愿。译码器有多个输入端和多个输出端。假如输入的端个数为,每个输出端只能有两个状态,则输出端个数最多有2n个。常用译码器输入、输出端头数来称呼译码器,如3线-8线译码器,4线-10线译码器等。我们经常用到的74138就是一个三线-八线译码器
实验2 五人表决器(无源码)
长安大学 电子与控制工程学院 电子科学与技术系
通过对话框中的 Hardware Setup 按钮,选择下载设备:USB-Blaster。参照上图所 示的选项,点击 Start 完成下载。 五、实验结果
以下是对参考代码的编译下载后的部分图例:
说明:初始状态中,分别显示同意和反对票数的数码管 6 和数码管 5 输出“FF”, 表示可以进行新的一次表决操作。此时拨动开关有两个处于高电平(同意), LED14、LED13 对应被点亮。
- 12 -
制作人:程鸿亮
长安大学 电子与控制工程学院 电子科学与技术系
2. 开发系统 I/O 管脚映射表:
引脚名称
引脚号
I/O0
AB15
I/O1
AB14
I/O2
AB13
I/O3
AB12
I/O4
AA20
I/O5
AA19
I/O6
AA18
I/O7
L19
I/O8
J14
I/O9
H15
I/O10
H14
I/O11
说明:清零按键被按下后,回到初始状态,此时控制拨动开关使得三个处于高电 平(同意),LED11、LED13、LED14 对应被点亮。
- 10 -
制作人:程鸿亮
长安大学 电子与控制工程学院 电子科学与技术系
说明:锁存按键再次被按下后,分别显示同意和反对票数的数码管 6 和数码管 5 输出“32”,表示有 3 人同意,2 人反对,LED1 被点亮表示表决结果为“同意”。 并且此时在对拨动开关进行控制也不会改变表决状态。同时 LED4~LED6 同时 点亮表示此次表决结束。
单片机课程设计报告(五人表决器)
目录
一、方案设计 (2)
二、硬件电路设计 (2)
三、软件设计说明 (6)
四、程序清单 (7)
五、制作调试说明 (12)
六、操作使用说明 (13)
七、总结 (13)
单片机课程设计
一、方案设计
1、设计题目:5人表决器
2、设计要求:
1)、可供5—7个人进行表决,每个人有一个“同意”和一个“反对”按键,故一个人需要两个按键,表决时两个键先按下的一个有效,同时按下则表示无效,每次表决每个按键只能是第一次按下的有效,多按无效,用单片机设计表决器是硬件电路与软件设计相结合的一种设计,因此在硬件电路只能实现按键的按下与释放,不能智能的实现检测按键被按下几次,则可用软件设计来实现其功能。2)会议主持人可利用按键控制表决的开始与结束,点亮黄灯用于显示表决开始,熄灭黄灯表示结束,并将表决结果用红灯与绿灯显示。当不相等时,“同意”多于“反对”点亮绿灯,“同意”少于“反对”点亮红灯。
3)在实现上述功能的基础上增加“同意”数和“反对”数的显示。
二、硬件电路设计
1、硬件设计思路:
此次设计的题目是5人表决器,分析得设计的硬件电路选用P1口与P2口作为同意与反对按键的输入端。又表决的开始与结束是由主持人来控制的,因此需要两个按键来表示表决的开始与结束,因此可采用外部中断0与外部中断1来控制表决的开始于结束。故设计总共需要按键12个。设计要求中需要四盏灯,分变为
一个黄灯,一个绿灯和二个红灯,三盏灯用于输出显示,因此也可以征用单片机的I/O口,由于P1口与P2口作为同意与反对按键的输入端且P0口当做输出端口时可以不用接上拉电阻,因此可将三个显示灯接在P0口用于显示表决的结果。还有一盏红灯用来做电源指示灯。
电子信息_五人表决器电路设计
五人表决器电路设计
一、实训任务
1.实现五人表决器逻辑:多数通过
2.使用verilog语言编辑实现逻辑功能
3.Vector Waveform File建立仿真波形文件
4.Word实训报告
二、实训要求
1、绘图必须规范、严谨,要求仿真成功。?
2、不得相互拷贝和抄袭
三、实训内容
原理图
步骤图
程序
module fire_voter_ex(b1,b2,b3,b4,b5,u);
input b1,b2,b3,b4,b5;
output u;
wire[2:0] add_result;
assign add_result = b1+b2+b3+b4+b5;
assign u = (add_result >=3)? 1:0;
endmodule
四、结果分析
数据结果
当输入信号大于或等于3时,LED灯点亮,表决通过。当输入信号小于3是,LED灯不点亮,表决不通过。
图表形式
仿真结果看附录(图1)
遇到的问题
在电脑按装驱动后,还是不能烧录到芯片
解决的方式
驱动需要安装在cpld/fpga软件文件内才能起作用
五、实训心得
该实验的关键是表决器通过的人数的限制,和对用Verilog语言编写程序的初步了解。在解决表决器通过人数这一问题,我通过对加起来的人数的数量来实现表决是否通过。
通过项目对Verilog有了大概的了解,在设计中在要多些耐心与毅力
六、附件
图1
程序
图2
五人表决器
五人表决器电路
题目要求:
1、根据设计原理,在逻辑分析仪中设置真值表。(20分)(设计的图画在试卷上)设计原理:五人表决器电路,由A、B、C、D,E五人组成,其中每人只有一票权,当总票达到三票或三票以上时,结果为通过,否则为未通过;
2、生成简单的电路表达式;(10分)(答案写在试卷)
3、根据表达式生成电路;(20分)
4、在生成的电路中添加投票按键A、B、C、D、E和结果指示灯Y,从Basic
器件库中选择开关SPDT作为投票按键,将其标号和控制键分别设置为A、
B、C、D、E,从Indicators器件库中选择电压探测器Probe作为结果指示。
(10分)
5、启动电路,根据真值表输入按键组合,观察输出结果是否符合设计要求。
6、执行菜单“Place”—“Place Text Description Box”,添加电路描述,内容为:
“五人表决器电路,由A、B、C、D、E五人组成,其中每人只有一票权,当总票达到三票或三票以上时,结果为通过,否则为未通过”。(10分)
7、将五人表决器电路生成子电路;(20分)
8、电路图保存在以自已的“班级学号姓名”命名的文件夹中,存放在电脑桌
面上。(10分)
数电课程设计_五人表决器设计
数电部分
五人表决器设计
一、设计任务与要求
1•设计一个五人表决器,通过红绿两种不同颜色的灯来代表表决是否通过,并用数码管显示出同意的人数。
2•使用74HC138译码器芯片进行控制,按照少数服从多数的原则,多数人同意则通过,少数人同意则被否决。用绿灯亮表通过,红灯亮表示否决。
3•学会根据已学知识设计具有某一特定功能的电路,学会基本电路的组装与调试。二、方案设计与论证
当按下开关时,代表同意赞成,开关没有按下去时,表示不赞成。评委按照自己的意愿投票,选择是否按下开关,即选择逻辑电位。对逻辑电位进行译码,对译码后芯片的输出进行显示。
对于五人表决器,首先设五人分别为ABC D E,设丫为表决的结果。其中有三人或三人以上同意(同意用“ 1”表示,不同意用“ 0”表示)则绿灯亮(绿灯用“ 1”表
示),红灯亮(红灯用“ 0”表示)。
五人表决器真值表
如果绿灯亮了,则表示表决通过,若红灯亮了,表示不通过。
三、单元电路设计与参数计算
芯片74HC138是典型的集成译码器。它是3线-8线译码器,该译码器有3位二进
制输入A B C,它们共有8种状态的组合,即可译出八个输出信号,输出为低电平有效此外,
还设置了3个使能端G1G2A G2B为电路的扩展提供了方便。
74HC138集成译码器功能表
输入输出
G G>
A 非G B
非
C B A
Y0
非
Y i
非
Y2
非
Y3
非
Y4
非
丫 5
非
Y,
非
丫7
非
* H * * * * H H H H H H H H * * H * * * H H H H H H H H L * * * * * H H H H H H H H H L L L L L L H H H H H H H H L L L L H H L H H H H H H H L L L H L H H L H H H H H H L L L H H H H H L H H H H H L L H L L H H H H L H H H H L L H L H H H H H H L H H H L L H H L H H H H H H L H H L L H H H H H H H H H H L
五人表决器的设计
《数字与逻辑电路基础》课程设计
——五人表决器的设计
姓名:
学号:2015
学院:自动
任课教师:冯
目
录 (2)
引言 (3)
摘要............................................................................................ 错误!未定义书签。实验设计原理............................................................................ 错误!未定义书签。实验步骤.................................................................................... 错误!未定义书签。真值表 (4)
卡诺图 (5)
电路图 (7)
Multisim仿真截图 (8)
电路设计总结 (8)
引言:
现在火热的综艺节目都会请一些评委为参赛选手进行通过与否进行评判,最后给出通过与否的结果。而评委进行表决时,都会有不同结果,此时,就需要一个多人表决器,而本次设计是为五人表决结果的输出,解决了对每一位评委结果的分析,直接给出最终通过与否
的结果。
摘要:
74HC153芯片是两个四选一数选器共用两个地址码,两个四选一输出端分别输出,两个使能端分别控制,且为低电平有效。由于只有两个地址输入端,则需要构造第三个地址输入端,两四选一数选器分
区工作。
实验设计原理分析:
先用扩展法将74HC153设计构成三输入八选一数据选择器,再利用降维法实现五变量到三变量,最后加上适当的基础门电路即可实现五人多路表决器。最后用Multisim进行仿真实验。
五人表决器_九九乘法表__交通灯(EDA报告)
实验一五人表决器的设计
一、实验目的
1、了解和初步掌握ISPlever软件的基本操作方法以及电子线路的程序编写
abel语言的编写。
2、通过实验,加深电路设计的概念以及了解计算机辅助设计分析的过程
3、培养学生的创新能力以及理论知识的应用能力。
二、实验内容及步骤
本实验要求利用ISPLEVER软件完成对五人表决器的设计及仿真,表决规则是,多数胜少数。分析题意,我们可以知道此次仿真应有五个输入端口,一个输出端口。分别设置其A,B,C,D,E为输入端口,F为输出端口。故分析可知其真值表如下所示:
图1:无人表决器真值表
可分析:无人中任意三人通过则表决可以通过,故得到其逻辑表达式为
F=ABC+ABD+ABE+ACD+ACE+ADE+BCD+BCE+BDE+CDE
实验步骤:
1、打开ISPLEVER软件,新建一个项目,并命名为Untitled.syn。
2、在新建立的项目的基础上新建一个原理图文件,并为之命名为
biaojueqi.sch。用软件绘制原理图如下所示:
图2:五人表决器原理图
3、在顶层原理图的基础上,为模块编写ABEL语言程序,原理图中建立了WTF
模块,新建立一个程序文件wtf.abl。
编写此项目的仿真文件程序biaojueqi.abv得到:
对此项目文件进行仿真,得到仿真结果如图:
图3:实验结果仿真分析
三、实验结果分析
本次实验设计的是五人表决器,要求A,B,C,D,E五个输入中只要有三个以上为1,那么实验的输出即为1。通过分析,我们得到了表决器输出的逻辑表达式,然后根据表达式完成了VOTE项目的设计,项目设计后仿真得到,A,B,C,D,E全为1时则全票通过;A,B,D,E为1,C为0时F为1;A,B,E 为1,C,D为0时F为1;A,B为1,C,D,E为0时F为0;A,B,C,D为0,E 为1时输出F为0;当A,B,C,D,E全为0时,则F=0;经过TEST文件来对程序检测,发现设计基本可以完成表决任务。
五人表决器 数电课程设计
课程设计报告
课程名称:数字电子技术基础课题名称:五人表决器的设计*名:***
学号:************ 院系:计算机学院
专业班级:通信111
指导教师:***
完成日期:2013年9月1日
目录
第1部分课程设计报告 (3)
第1章课程设计目的 (3)
第2章课程设计内容和要求 (4)
第3章课程设计总体方案及分析 (4)
3.1方案论证 (4)
3.2系统原理 (4)
3.3主要元件介绍 (5)
3.4仿真 (8)
3.5五人表决器原理和布线 (10)
3.6主要仪器与设备 (11)
3.7参考文献 (12)
第2部分课程设计总结 (13)
第1部分课程设计报告第1章课程设计目的
(1)熟悉集成电路的引脚及安排。
(2)掌握各芯片的逻辑功能及控制方法。
(3)学习PROTEUS仿真。
(4)学习Altium Dessngner原理图与PCB设计。
第2章课程设计内容和要求
(1)设计表决工作电路
(2)设计不同开关控制译码器
(3)设计输出显示电路
第3章课程设计总体方案及分析
3.1方案论证
图3.1-1 五人表决器的结构框图
1. 当按下开关时,代表同意赞成,该评委的红色指示灯亮;开关没有按下去时,表示不赞成,该评委的红色指示灯不亮;评委按照自己的意愿投票,选择是否按下开关,即选择逻辑电位。
2. 对逻辑电位进行译码。
3. 对译码后芯片的输出进行显示。
3.2系统原理
对于五人表决器,首先设五人分别为A B C D E 设Y为表决的结果。其中有三人或三人以上同意(同意用“1”表示,不同意用“0”表示)则绿灯亮 (红灯用“1”表示) 。如果绿灯亮了,则表示表决通过,若绿不灯亮,表示不通过。
五人表决器的设计
数字电路课程设计报告书
课题名称 五人表决器的设计
姓 名 学 号
院、系、部 物理与电信工程系 专 业 电子信息工程
指导教师
2008年 07 月06日
※※※※※※※※※ ※
※ ※※ ※
※
2006级学生数字电
路 课程设计
五人表决器的设计
1 设计目的
(1)熟悉集成电路的引脚安排。
(2)掌握各芯片的逻辑功能及使用方法。
(3)了解面包板结构及其接线方法。
(4)了解五人表决器的组成及工作原理。
(5)熟悉五人表决器的设计与制作。
(6)熟悉 EWB 软件的运用,用该软件仿真结果。
2 设计思路
(1)设计表决器工作电路。
(2)设计输入电路。
(3)设计清零电路。
(4)设计输出显示电路。
3 设计过程
3.1方案论证
数字表决器总体方框图如图1所示。
图1 五人表决器框图
1.表决时,评委根据自己意愿投票,选择按键,即输入逻辑电位。2.对逻辑电位进行译码。
3.对译码后芯片的输出再进行逻辑运算。
4.将运算结果通过指示灯输出,显示表决结果。
3.2电路设计
五人表决器电路如图2所示。
图2 工作原理接线图
该电路完成三个功能:一是通过清零端由主持人控制电路工作状态,明确表决器是否在工作;二是通过输入电路输入各评委的投票结果;三是通过输出电路输出并显示投票结果。
工作过程:由主持人控制的开关S打到低电平时,通过与门,输出全部为低电平,则所有灯泡都熄灭,不显示任何投票结果,表决器处于不工作状态。当主持人宣布投票开始后,各评委开始投票。根据电路输入端可知,输入为高电平有效,若评委同意该选手晋级,则调拨开关,使之打到高电平,输入就为高电平;若评委不同意该选手晋级,则调拨开关,使之打到低电平,输入就为低电平。而该表决器工作电路是通过用74HC139 2-4线译码器与74HC138 3-8线译码器发生其功能的,输入各评委的投票结果,使之成为有效二进制数,再通过芯片作用输出,且输出为低电平有效。但是灯泡是在高电平时才亮,故输出端用与非门输出,使之输出最终为高电平,使灯泡能亮,显示投票结果。且用两个74LS20双4输入与非门作为该转换过程的工具。结果显示则用灯泡,投票同意该选手晋级则绿灯亮,投票不同意该选手晋级,则红灯亮,该选手遭淘汰。投票结束后,主持人宣布“投票结束,请看投票结果”,由主持人将开关S打到高电平,则投票结果显示。
五人表决器的设计说明
《数字与逻辑电路基础》课程设计
——五人表决器的设计
姓名:
学号:2015
学院:自动
任课教师:
目录 (2)
引言 (3)
摘要............................................................................................ 错误!未定义书签。实验设计原理............................................................................ 错误!未定义书签。实验步骤.................................................................................... 错误!未定义书签。真值表 (4)
卡诺图 (5)
电路图 (7)
Multisim仿真截图 (8)
电路设计总结 (8)
引言:
现在火热的综艺节目都会请一些评委为参赛选手进行通过与否进行评判,最后给出通过与否的结果。而评委进行表决时,都会有不同结果,此时,就需要一个多人表决器,而本次设计是为五人表决结果的输出,解决了对每一位评委结果的分析,直接给出最终通过与否
的结果。
摘要:
74HC153芯片是两个四选一数选器共用两个地址码,两个四选一输出端分别输出,两个使能端分别控制,且为低电平有效。由于只有两个地址输入端,则需要构造第三个地址输入端,两四选一数选器分
区工作。
实验设计原理分析:
先用扩展法将74HC153设计构成三输入八选一数据选择器,再利用降维法实现五变量到三变量,最后加上适当的基础门电路即可实现五人多路表决器。最后用Multisim进行仿真实验。
五人多数表决器
广西大学行健文理学院 FPGA课程设计
题目:五人多数表决器
学部:电气信息学部
专业:电子科学与技术
班级:2012级1班
学号:**********
学生姓名:***
指导老师:***
二〇一五年一月
摘要
在电子设计与制造技术的发展中,核心就是电子设计自动化(EDA,Electronic Design Automation)技术。EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言VHDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对特定目标芯片的适配编译、逻辑映射和编程下载等工作。EDA技术的出现,极大地提高了电路设计的效率和可操作性,减轻了设计者的劳动强度。本次设计实验就是基于EDA技术和EP1C6Q240C8芯片(FPGA),及其外围电路,实现了五人多数表决器的设计。设计模块主要包括:控制单元、计数单元、显示单元。从而实现了用人数多于或等于3来判决是否通过,从而达到设计要求。
关键词:EDA、VHDL、显示单元、控制单元
目录
设计要求 (1)
前言 (1)
1方案论证 (1)
1.1方案一 (1)
1.2方案二 (2)
1.3方案对比与选择 (3)
2基本功能模块设计与说明 (3)
2.1十秒倒计时模块说明 (3)
2.2数码管机二极管显示模块说明 (3)
2.3电路原理图 (4)
3 软件设计 (4)
4 管脚说明 (5)
5仿真现象 (6)
6实验结论 (9)
7实验总结 (10)
致谢 (11)
参考文献 (12)
附录 (13)
五人多数表决器
设计要求
1五人多数表决逻辑:多数通过;
EDA五人表决器
实验七:五人表决器的设计实验
姓名:学号:同组成员:
一.实验目的:
熟悉QII软件使用;练习数字电路的完整设计过程。
二.实验要求:
五人表决器,即五人参与表决,超过半数投赞成票(三人或三人以上按1),表决通过是;否则不通过。
三.实验步骤:
简要记录实验步骤即可。
1、列出真值表
2、创建新设计项目
3、创建HDL源文件
4、仿真
5、管脚锁定
6、下载
7、电路功能验证
四.实验结果:
1. 写出设计过程(真值表、简化结果)
2. 实验结果(包括RTL电路图和波形仿真结果)
3. 程序代码
5人表决器真值表
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
entity biao is
port(a:in std_logic_vector(4 downto 0);
Q: out std_logic);
end biao;
architecture arch of biao is
begin
process(a)
begin
case a is
when "00000"=> Q <= '0';
when "00001"=> Q <= '0';
when "00010"=> Q <= '0'; when "00011"=> Q <= '0'; when "00100"=> Q <= '0'; when "00101"=> Q <= '0'; when "00110"=> Q <= '0'; when "00111"=> Q <= '1'; when "01000"=> Q <= '0'; when "01001"=> Q <= '0'; when "01010"=> Q <= '0'; when "01011"=> Q <= '1'; when "01100"=> Q <= '0'; when "01101"=> Q <= '1'; when "01110"=> Q <= '1'; when "01111"=> Q <= '1'; when "10000"=> Q <= '0'; when "10001"=> Q <= '0'; when "10010"=> Q <= '0'; when "10011"=> Q <= '1'; when "10100"=> Q <= '0'; when "10101"=> Q <= '1';
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
数电部分
五人表决器设计
一、设计任务与要求
1.设计一个五人表决器,通过红绿两种不同颜色的灯来代表表决是否通过,并用数码管显示出同意的人数。
2.使用74HC138译码器芯片进行控制,按照少数服从多数的原则,多数人同意则通过,少数人同意则被否决。用绿灯亮表通过,红灯亮表示否决。
3.学会根据已学知识设计具有某一特定功能的电路,学会基本电路的组装与调试。
二、方案设计与论证
当按下开关时,代表同意赞成,开关没有按下去时,表示不赞成。评委按照自己的意愿投票,选择是否按下开关,即选择逻辑电位。对逻辑电位进行译码,对译码后芯片的输出进行显示。
对于五人表决器,首先设五人分别为A B C D E ,设Y为表决的结果。其中有三人或三人以上同意(同意用“1”表示,不同意用“0”表示)则绿灯亮 (绿灯用“1”表示),红灯亮(红灯用“0”表示)。
五人表决器真值表
如果绿灯亮了,则表示表决通过,若红灯亮了,表示不通过。
三、单元电路设计与参数计算
芯片74HC138,是典型的集成译码器。它是3线-8线译码器,该译码器有3位二进制输入A B C,它们共有8种状态的组合,即可译出八个输出信号,输出为低电平有效。此外,还设置了3个使能端G1 G2A G2B,为电路的扩展提供了方便。
74HC138集成译码器功能表
U7
74HC138D_2V Y015Y114Y213Y312Y411Y510Y69Y7
7
A 1
B 2
C 3G16~G2A 4~G2B
5
74HC138外围引脚分布图
芯片74HC139,是双2线-4线译码器,它的输出也是低电平有效,符号匡内部的输入、输出变量表示其内部的逻辑关系。
74HC139 2-4线译码器真值表
U6A
74HC139DW_2V 1Y041Y151Y261Y3
7
1A 21B 3~1G
1
74HC139 外围引脚分布图
74LS161计数是一种最简单基本的运算,计数器就是实现这种运算的逻辑电路,计数器在数字系统中主要是对脉冲的个数进行计数,以实现测量、计数和控制的功能,同时兼有分频功能。
计数器按计数进制不同,可分为二进制计数器、十进制计数器、其他进制计数器和可变进制计数器,若按计数单元中各触发器所接收计数脉冲和翻转顺序或计数功能来划分,则有异步计数器和同步计数器两大类,以及加法计数器、减法计数器、加/减计数器等,如按预置和清除方式来分,则有并行预置、直接预置、异步清除和同步清除等差别,按权码来分,则有“8421”码,“5421”码、余“3”码等计数器,按集成度来分,有单、双位计数器等等。
74LS161是四位二进制可预置同步计数器,由于它采用4个主从JK触发器作为记忆单元,故又称为四位二进制同步计数器,其集成芯片管脚如图所示。
CE
CE
CP
74LS161管脚图
该计数器由于内部采用了快速进位电路,所以具有较高的计数速度。各触发器翻转是靠时钟脉冲信号的正跳变上升沿来完成的。时钟脉冲每正跳变一次,计数器内各触发器就同时翻转一次,74LS161的功能表如表所示:
74LS161逻辑功能表
输入输出R D LD ET EP CP A B C D QA QB QC QD
L ××××××××L L L L
H L ××↑ a b c d a b c d
H H H H ↑××××计数
H H L ××××××保持
H H ×L ×××××保持
由于74LS161的计数容量为16,即计16个脉冲,发生一次进位,所以可以用它构成16进制以内的各进制计数器,实现的方法有两种:置零法(复位法)和置数法(置位法)。
CD4511 引脚图
四、总原理图及元器件清单
1.Multisim 11.0原理图:
2.元件清单:
五、安装与调试
将设计好的电路在Multisim 11.0中绘制出来,在绘制时,注意各器件的选择和使用,开关应选择单刀双置式开关,共5个,开关连线时应保持处于断开状态,不得提前接通电源。此外,接线时的走线,应选择合适的走线方式,使整个电路显得清晰明确,尽量避免接线的来回交叉、或者绕远的走线方式。
Multisim 11.0的使用也是一项非常复杂的事情,需要足够的细心和耐心,一个很小的疏忽就容易接错线,而接线错误就会造成仿真错误,甚至仿真失败。因此一定要注意仿真时的正确接线。
六、性能测试与分析
调试图1 红灯亮
当A、B、C、D、E中只有任意两人或两人以下赞同时,红灯亮,同时数码管显示出同意人数2。
调试图2 绿灯亮
当A、B、C、D、E中有三人或三人以上一下赞同时,绿灯亮,同时数码管显示出同意人数3。
七、结论与心得
在数字电子技术课程设计的尾声我认识到,我们做好课程设计,需要认真复习一个学期以来学过的内容,需要翻阅课本知识才能确立实验方案,然后逐步进行细化设计。我主要负责电路的模拟与仿真部分,在仿真过程中总会遇到各种各样的问题,需要耐心地分析、解决。我知道“世上无难事,只怕有心人”,经过我多日的不懈努力,我终于攻克了仿真过程中的大部分难题,成功地实现了仿真,基本达到了预期效果。
通过这次的数电课程设计,我了解了五人表决器的制作过程及其工作原理,通过和课本上的知识进行比较对照,更加深刻地理解了74LS138、74LS139等相关知识。我还学会了模拟仿真软件Multisim 11.0的安装、汉化、及基本使用方法,学会简单电路的模拟仿真,对今后的学习和生活会有很大的帮助,甚至可以不用去实验室就能在电脑上模拟完成一些实验项目。此外,我理解了设计数字电路时如何正确选取合适的元件,进