EDA设计 样题
(完整)EDA试题及答案,推荐文档
2013年电子系统设计考试试题--考试时间21号56节--公共409一、填空题1. Verilog的基本设计单元是模块。
它是由两部分组成,一部分描述接口;另一部分描述逻辑功能,即定义输入是如何影响输出的。
2. 用assign描述的语句我们一般称之为组合逻辑,并且它们是属于并行语句,即于语句的书写次序无关。
而用always描述的语句我们一般称之为组合逻辑或时序逻辑,并且它们是属于串行语句,即于语句的书写有关。
3.在case语句中至少要有一条default语句.4. 已知x=4’b1001,y=4’0110,则x的4位补码为4’b1111,而y的4位的补码为4’b0110 .5. 两个进程之间是并行语句。
而在Always中的语句则是顺序语句。
二、简答题1. 怎样理解在进程语句中,阻塞语句没有延迟这句话?答:这是因为在进程语句中,有阻塞语句和非阻塞语句这两种,非阻塞语句是有延迟的,而阻塞语句也是有延迟的,这是因为因果系统都有延迟,只是阻塞语句的延迟比非阻塞语句的延迟小于若干个数量级,因此可视为没有延迟。
2.在进程中什么情况下综合为时序电路?什么情况下综合为组合电路?答:在进程中,只有当敏感信号是边沿触发(即上升沿或下降沿)时,此时综合为时序电路;而在进程中只有当敏感信号是电平沿触发时,此时综合为组合电路。
3.为什么在Verilog语言中,其综合只支持次数确定的循环,而不支持次数不确定的循环?答:这是因为,在Verilog语言中,它是为电路设计而设计的一门语言,它与高级语言不同,若循环的次数不确定,则会带来不确定的延迟,而这在电路中是不允许存在的,故综合只能支持次数确定的循环,即对于一个具体的芯片,其延迟只是一个定值。
4.Verilog HDL语言进行电路设计方法有哪几种?答:①自上而下的设计方法(Top-down);②自下而上的设计方法(Bottom-Up)③综合设计的方法。
5.specparam语句和parameter语句在参数说明方面不同之处是什么?答:1.specparam语句只能在延时的格式说明块(specify)中出现,而parameter语句则不能再延时说明块内出现。
eda期末考试试题及答案
eda期末考试试题及答案EDA期末考试试题及答案一、选择题(每题2分,共20分)1. EDA(电子设计自动化)主要应用于以下哪个领域?A. 机械设计B. 建筑设计C. 电子电路设计D. 软件开发答案:C2. 在EDA软件中,以下哪个不是常见的设计流程?A. 原理图设计B. 电路仿真C. 手动布线D. 封装设计答案:C3. 下列哪个不是EDA工具的组成部分?A. 原理图编辑器B. PCB布局工具C. 3D建模软件D. 仿真分析工具答案:C4. 在EDA设计中,PCB指的是什么?A. 印刷电路板B. 个人计算机C. 程序控制板D. 功率控制板答案:A5. 以下哪个是EDA设计中常用的文件格式?A. .txtB. .pdfC. .schD. .jpg答案:C...(此处省略其他选择题)二、简答题(每题10分,共30分)1. 简述EDA设计流程的主要步骤。
答案:EDA设计流程通常包括原理图设计、电路仿真、PCB布局、布线、封装设计、测试与验证等步骤。
2. 解释什么是PCB布线,并说明其重要性。
答案:PCB布线是指在印刷电路板上将电子元件的引脚通过导电路径连接起来的过程。
布线的重要性在于它直接影响电路的性能、可靠性和生产成本。
3. 描述电路仿真在EDA设计中的作用。
答案:电路仿真在EDA设计中用于模拟电路在不同条件下的行为,帮助设计者预测电路的性能,优化设计,并在实际制造之前发现潜在的问题。
三、计算题(每题15分,共30分)1. 给定一个简单的RC电路,计算其时间常数τ。
答案:时间常数τ是电容C和电阻R的乘积,即τ = R * C。
2. 假设一个电路的输入信号频率为1kHz,计算其周期T。
答案:周期T是频率f的倒数,即T = 1/f = 1/1000Hz = 1ms。
四、设计题(20分)设计一个简单的放大器电路,并使用EDA工具绘制其原理图。
答案:(此处应有原理图,但无法提供图像,故省略)五、论述题(20分)论述在现代电子设计中,EDA工具的重要性及其对设计流程的影响。
EDA设计题目和内容
EDA设计题目和内容1智力竞赛抢答器的设计设计一个4人参加的智力竞赛抢答计时器。
电路具有回答问题时间控制功能。
要求回答问题时间小于等于100秒(显示为0~99),时间显示采用倒计时方式,当达到限定时间时,发出声响以示警告;设置一个主持人“复位”按钮,主持人复位后,开始抢答,进入倒计时,当有某一参赛者首先按下抢答开关时,相应指示灯亮并伴有2~3 秒声响,此时抢答器不再接受其他输入信号,使除第一抢答者外的按钮不起作用,倒计时也相应停止,主持人复位后,重新开始抢答。
其他要求:(1)数码管显示抢答得胜的选手号;(2)设置一个计分电路,每组开始预置100 分,由主持人记分,答对一次加10 分,答错一次减10 分。
2 数字电子钟的设计具有时,分,秒计数显示功能,以24小时循环计时;设置启动、停止开关,具有启动和停止计时操作的功能;显示格式为××-××-××,用8位数码管显示。
其他要求:(1)具有清零,调节小时、分钟、秒功能;(2)具有整点报时功能,整点报时的同时LED灯花样显示。
(3)具有闹钟功能,可任意设置时间点。
3 拔河游戏机的设计设计要求如下:(1)设计一个能进行拔河游戏的电路。
(2)电路使用7个发光二极管,开机后只有中间一个发亮,此即拔河的中心点。
(3)游戏双方各持一个按钮,迅速地、不断地按动,产生脉冲,谁按得快,亮点就向谁的方向移动,每按十次,亮点移动一次。
(4)亮点移到任一方终端二极管时,这一方就获胜,此时双方按钮均无作用,输出保持,只有复位后才使亮点恢复到中心。
(5)用数码管显示双方按键的次数。
4 数字频率计的设计设计一个8位十进制数字频率计,待测信号由50MHz时钟分频得到或外部输入,测频范围为1Hz~50MHz,频率通过8位数码管显示。
其他要求:(1)测频范围扩大到1Hz~100MHz。
(2)用1602液晶显示待测信号频率。
5 乒乓球比赛游戏机(1)设计一个由甲、乙双方参赛,有裁判的3人乒乓球游戏机。
eda期末考试题目及答案
eda期末考试题目及答案一、选择题(每题2分,共20分)1. EDA(电子设计自动化)的主要功能是什么?A. 电路设计B. 电路仿真C. 电路测试D. 所有以上选项2. 在EDA中,HDL指的是什么?A. 高级设计语言B. 硬件描述语言C. 硬件开发语言D. 硬件描述逻辑3. 下列哪个不是EDA工具的主要组成部分?A. 原理图编辑器B. 仿真器C. 编译器D. 汇编器4. 在EDA中,FPGA代表什么?A. 现场可编程门阵列B. 固定可编程门阵列C. 功能可编程门阵列D. 快速可编程门阵列5. 以下哪个是EDA设计流程中的必要步骤?A. 原理图绘制B. 电路板布局C. 焊接D. 电路测试二、填空题(每空2分,共20分)6. 常见的EDA软件有______、______和______。
答案:Cadence, Altium Designer, Mentor Graphics7. 在EDA中,______是一种用于设计和验证数字电路的图形化编程语言。
答案:VHDL8. EDA工具可以帮助工程师进行______和______。
答案:设计优化,性能分析9. 与ASIC相比,FPGA的优点是______和______。
答案:灵活性高,开发周期短10. 在EDA设计中,布局和布线是实现______的关键步骤。
答案:电路板物理结构三、简答题(每题10分,共30分)11. 简述EDA在现代电子设计中的重要性。
答案:EDA在现代电子设计中的重要性体现在它能够提高设计效率,降低成本,缩短产品上市时间,同时提高设计的可靠性和可维护性。
12. 解释什么是仿真,并说明在EDA设计流程中仿真的作用。
答案:仿真是一种模拟实际电路在不同条件下行为的技术。
在EDA 设计流程中,仿真用于验证设计的正确性,预测电路的性能,以及发现潜在的问题,从而在实际制造之前进行必要的修改。
13. 描述FPGA与ASIC在应用上的主要区别。
答案:FPGA是一种可编程的硬件,可以在设计完成后重新配置,适用于需要快速原型开发和灵活设计调整的场景。
EDA试题题库及参考答案
EDA试题题库及参考答案一、选择题:1.大规模可编程器件主要有FPGA、CPLD两类,下列对CPLD结构与工作原理的描述中,正确的是:___D__A. CPLD是基于查找表结构的可编程逻辑器件B. CPLD 即是现场可编程逻辑器件的英文简称C. 早期的CPLD是从FPGA的结构扩展而来D. 在Xilinx公司生产的器件中,XC9500系列属CPLD 结构2.基于VHDL设计的仿真包括有①门级时序仿真、②行为仿真、③功能仿真和④前端功能仿真这四种,按照自顶向下的设计流程,其先后顺序应该是:_________DA.①②③④B.②①④③C.④③②①D.②④③①3.下面对利用原理图输入设计方法进行数字电路系统设计,哪一种说法是正确的:__________BA.原理图输入设计方法直观便捷,很适合完成较大规模的电路系统设计B.原理图输入设计方法多用于较规范、规模不大的电路设计,和HDL代码描述方法均可以被综合,相得益彰C.原理图输入设计方法无法对电路进行功能描述 D.原理图输入设计方法不适合进行层次化设计4.在VHDL语言中,下列对进程语句的语句结构及语法规则的描述中,不正确的是:_______DA.PROCESS为一无限循环语句B.敏感信号发生更新时启动进程,执行完成后,等待下一次进程启动 C.当前进程中声明的变量不可用于其他进程D.进程说明语句部分、并行语句部分和敏感信号参数表三部分组成 5.对于信号和变量的说法,哪一个是不正确的:_________AA.信号用于作为进程中局部数据存储单元 B.变量的赋值是立即完成的C.信号在整个结构体内的任何地方都能适用 D.变量和信号的赋值符号不一样6.进程中的信号赋值语句,其信号更新是___C____。
A.按顺序完成; B.比变量更快完成; C.在进程的最后完成; D.都不对。
7. VHDL语言共支持四种常用库,其中哪种库是用户的VHDL设计现行工作库:_______DA.IEEE库 B.VITAL库 C.STD库 D.WORK工作库8. VHDL语言是一种结构化设计语言;一个设计实体包括实体与结构体两部分,结构体描述___________。
EDA试题题库及参考答案
一、选择题:(20分)1.大规模可编程器件主要有FPGA、CPLD两类,下列对CPLD结构与工作原理的描述中,正确的是:___D__A. CPLD是基于查找表结构的可编程逻辑器件B. CPLD即是现场可编程逻辑器件的英文简称C. 早期的CPLD是从FPGA的结构扩展而来D. 在Xilinx公司生产的器件中,XC9500系列属CPLD结构2.基于VHDL设计的仿真包括有①门级时序仿真、②行为仿真、③功能仿真和④前端功能仿真这四种,按照自顶向下的设计流程,其先后顺序应该是:_________DA.①②③④ B.②①④③C.④③②①D.②④③①3.下面对利用原理图输入设计方法进行数字电路系统设计,哪一种说法是正确的:__________BA.原理图输入设计方法直观便捷,很适合完成较大规模的电路系统设计B.原理图输入设计方法多用于较规范、规模不大的电路设计,和HDL代码描述方法均可以被综合,相得益彰C.原理图输入设计方法无法对电路进行功能描述D.原理图输入设计方法不适合进行层次化设计4.在VHDL语言中,下列对进程(PROCESS)语句的语句结构及语法规则的描述中,不正确的是:_______DA.PROCESS为一无限循环语句B.敏感信号发生更新时启动进程,执行完成后,等待下一次进程启动C.当前进程中声明的变量不可用于其他进程D.进程由说明语句部分、并行语句部分和敏感信号参数表三部分组成5.对于信号和变量的说法,哪一个是不正确的:_________AA.信号用于作为进程中局部数据存储单元B.变量的赋值是立即完成的C.信号在整个结构体内的任何地方都能适用D.变量和信号的赋值符号不一样6.进程中的信号赋值语句,其信号更新是___C____。
A.按顺序完成;B.比变量更快完成;C.在进程的最后完成;D.都不对。
7.VHDL语言共支持四种常用库,其中哪种库是用户的VHDL设计现行工作库:_______D A.IEEE库B.VITAL库C.STD库D.WORK工作库8.VHDL语言是一种结构化设计语言;一个设计实体(电路模块)包括实体与结构体两部分,结构体描述___________。
EDA课程设计题目_图文.(共5篇)
EDA课程设计题目_图文.(共5篇)第一篇:EDA课程设计题目_图文.课程设计题目设计一数字式竞赛抢答器1、设计一个可容纳6 组(或4 组参赛的数字式抢答器,每组设一个按钮,供抢答使用。
2、抢答器具有第一信号鉴别和锁存功能,使除第一抢答者外的按钮不起作用。
3、设置一个主持人“复位”按钮。
4、主持人复位后,开始抢答,第一信号鉴别锁存电路得到信号后,有指示灯显示抢答组别,扬声器发出2~3 秒的音响。
5、设置一个计分电路,每组开始预置100 分,由主持人记分,答对一次加10 分,答错一次减10 分。
教学提示:1、此设计问题的关键是准确判断出第一抢答者并将其锁存,实现的方法可使用触发器或锁存器,在得到第一信号后将输入封锁,使其它组的抢答信号无效。
2、形成第一抢答信号后,用编码、译码及数码显示电路显示第一抢答者的组别,用第一抢答信号推动扬声器发出音响。
3、计分电路采用十进制加/减计数器、数码管显示,由于每次都是加/减10 分,所以个位始终为零,只要十位、百位进行加/减运算即可。
设计二数字钟1、设计一个能显示1/10秒、秒、分、时的12小时数字钟。
2、熟练掌握各种计数器的使用。
3、能用计数器构成十进制、六十进制、十二进制等所需进制的计数器。
4、能用低位的进位输出构成高位的计数脉冲。
教学提示:1、时钟源使用频率为0.1Hz的连续脉冲。
2、设置两个按钮,一个供“开始”及“停止”用,一个供系统“复位”用。
3、时钟显示使用数码管显示。
4、“时显示”部分应注意12点后显示1点。
5、注意各部分的关系,由低位到高位逐级设计、调试。
设计三数字频率计1、设计一个能测量方波信号的频率的频率计。
2、测量的频率范围是0?999999Hz。
3、结果用十进制数显示。
教学提示:1、脉冲信号的频率就是在单位时间内所产生的脉冲个数,其表达式为,f为被测信号的频率,N为计数器所累计的脉冲个数,T为产生N个脉冲所需的时间。
所以,在1秒时间内计数器所记录的结果,就是被测信号的频率。
EDA 参考试题
1. 描述项目具有的逻辑功能的是( B )。
A. 实体B. 结构体C. 配置D. 进程2. 不符合1987VHDL标准的标识符是( D )。
A. a2b2B. a1b1C. ad12D. %503. Protel99 SE中,在放置元器件封装过程中,按( C)键使元器件封装从顶层移到底层。
A. XB. YC. LD. 空格键4. VHDL运算符优先级的说法正确的是( A )。
A. NOT的优先级最高B. AND和NOT属于同一个优先级C. NOT的优先级最低D. 前面的说法都是错误的5. 转换函数TO_BITVECTOR(A)的功能是( C )。
A. 将TIME转换为BIT_VECTORB. 将REAL转换为BIT_VECTORC. 将STDLOGIC_VECTOR转换为BIT_VECTORD. 前面的说法都错误6. VHDL文本编辑中编译时出现这样的报错信息,“Error: VHDL syntax error: choice value length must match selector expression_r value length”,其错误原因是( A )。
A. 表达式宽度不匹配。
B. 错将设计文件存入了根目录,并将其设定成工程。
C. 设计文件的文件名与实体名不一致。
D. 程序中缺少关键词。
7. Protel99 SE中,初始状态的设置有三种途径:“.IC”设置,“.NS”设置和定义元器件属性。
在电路仿真中,如有这三种共存时,在分析中优先考虑的是( C )。
A. “.IC”设置B. “.NS”设置C. 定义元器件属性D. 不清楚8. 下面对利用原理图输入设计方法进行数字电路系统设计的描述中,那一种说法是不正确的(B)。
A. 原理图输入设计方法直观便捷,但不适合完成较大规模的电路系统设计;B. 原理图输入设计方法一般是一种自底向上的设计方法;C. 原理图输入设计方法无法对电路进行功能描述;D. 原理图输入设计方法也可进行层次化设计。
EDA课程设计参考题目
附录III EDA课程设计参考题目一、数码管显示控制器要求:1.能自动依次显示出数字0、1、2、3、4、5、6、7、8、9(自然数列),1、3、5、7、9(奇数列),0、2、4、6、8(偶数列),0、1、2、3、4、5、6、7、0、1(音乐符号序列);然后再从头循环;2.打开电源自动复位,从自然数列开始显示。
二、乒乓球游戏机要求:1.用8个发光二极管表示球;用两个按钮分别表示甲乙两个球员的球拍;2.一方发球后,球以固定速度向另一方运动(发光二极管依次点亮),当球达到最后一个发光二极管时,对方击球(按下按钮)球将向相反方向运动,在其他时候击球视为犯规,给对方加1分;都犯规,各自加1分;3.甲、乙各有一数码管计分;4.裁判有一个按钮,是系统初始化,每次得分后,按下一次。
三、智力竞赛抢答器要求:1.五人参赛每人一个按钮,主持人一个按钮,按下就开始;2.每人一个发光二极管,抢中者灯亮;3.有人抢答时,喇叭响两秒钟;4.答题时限为10秒钟,从有人抢答开始,用数码管倒计时间,0、9、8…1、0;倒计时到0的时候,喇叭发出两秒声响。
四、双钮电子锁要求:1.有两个按钮A和B,开锁密码可自设,如(3、5、7、9);2.若按B钮,则门铃响;(滴、嗒…);3.开锁过程:按3下A,按一下B,则3579中的“3”即被输入;接着按5下A,按一下B,则输入“5”;依此类推,直到输入完“9”,按B,则锁被打开——用发光管KS表示;4.报警:在输入3、5、6、9过程后,如果输入与密码不同,则报警;用发光管BJ表示,同时发出“嘟、嘟……的报警声音;5.用一个开关表示关门(即闭锁)。
五、数字钟要求:1.输入10HZ的时钟;(提示:对已有kHz频率时钟进行分频)2.能显示时、分、秒,24小时制;4.时和分有校正功能;注意:硬件资源的节约,否则器件内资源会枯竭。
六、交通灯控制器要求:1.东西方向为主干道,南北方向为副干道;2.主干道通行40秒后,若副干道无车,仍主干道通行,否则转换;4.换向时要有4秒的黄灯期;5.南北通行时间为20秒,到时间则转换,若未到时,但是南北方向已经无车,也要转换。
EDA试题
二、单选题1、可擦除可编程逻辑器件的英文简称是(C )。
A.PLD B.CPLD C.EPLD D.FPGA2、FPGA 的配置存储器是( C)。
A.ROM B.RAM C.SRAM D.DRAM3、FPGA 的配置存储器是(A )。
A.SRAM B.EPROM C.EEPROM D.FLASH ROM4、复杂可编程逻辑器件的英文简称是( B)。
A.PLD B.CPLD C.EPLD D.FPGA5、现场可编程门阵列的英文简称是( D)。
A.PLD B.CPLD C.EPLD D.FPGA6、可编程逻辑器件的英文简称是( A)。
A.PLD B.CPLD C.EPLD D.FPGA二、单选题1、EDA 软件开发工具 Quartues II 要求源程序文件的名字与(B )必须一致。
A.端口名 B.实体名 C.结构体名 D.配置名2、VHDL 语言标准库中的程序包使用时不用 USE 语句显式打开调用的是(E )。
A.STD_LOGIC_1164 B.STD_LOGIC_ARITH C.STD_LOGIC_UNSIGNEDD.STD_LOGIC_SIGNED E.STANDARD F.TEXTIO3、VHDL 语言共支持四种常用库,其中哪种库是用户的 VHDL 设计现行工作库。
( C)A.IEEE 库 B.STD 库 C.WORK 库 D.VITAL 库4、描述项目所实现的逻辑功能的是(B )。
A.实体 B.结构体 C.配置 D.进程5、VHDL 语言是一种结构化设计语言,一个设计实体(电路模块)的结构体部分描述的是( B)。
A.模块的外部特性 B.模块的内部功能 C.模块的综合约束D.模块的整体特性6、关键字 CONFIGURATION 定义的是( D)。
A.结构体 B.进程 C.实体 D.配置一、单选题1、在 VHDL 语法规则中,变量是一个局部量,只能在(C )和子程序中使用。
A.实体 B.结构体 C.进程 D.配置2、下面数据中属于位矢量的是(D )。
eda课程设计30个题目
eda课程设计30个题目1数字频率计1)设计一个能测量方波信号的频率的频率计。
2)测量的频率范围是0 Hz。
3)结果用十进制数显示。
2乒乓球游戏机1)用8个发光二极管表示球;用两个按钮分别表示甲乙两个球员的球拍2)一方发球后,球以固定速度向另一方运动(发光二极管依次电量),当球达到最后一个发光二极管时,对方击球(按下按钮)球将向相反方向运动,在其他时候击球视为犯规,给对方加1分;都犯规,各加1分;3)甲乙各有数码管计分4)裁判有一按钮,可系统初始化,每次得分后,按下一次3彩灯控制器1)设计一个彩灯控制器,使十个彩灯(LED管)能连续发出四种以上不同的显示形式(如奇数依次亮),;2)随着彩灯显示图案的变化,发出不同的音响声(可用不同频率的矩形波产生)。
4速度表1)显示汽车时速Km/h2)车轮每转一圈,有一个传感脉冲;每个脉冲代表1m的距离3)采样周期设为10S4)*要求显示到小数点后2位5)数码管显示6)*超速报警5拔河游戏机1)设计一个能进行拔河游戏的电路。
2)电路使用15个(或9个)发光二极管,开机后只有中间一个发亮,此即拔河的中心点。
3)游戏双方各持一个按钮,迅速地、不断地按动,产生脉冲,谁按得快,亮点就向谁的方向移动,每按一次,亮点移动一次。
4)亮点移到任一方终端二极管时,这一方就获胜,此时双方按钮均无作用,输出保持,只有复位后才使亮点恢复到中心。
5)*用数码管显示获胜者的盘数6 6 数字跑表1)具有暂停/启动功能2)具有重新开始功能3)用6个数码管分别显示百分秒、秒和分钟4)能计两个人跑步时间,并能选择显示77 电梯控制器1)5层电梯控制器,用5个led显示电梯行进过程,并用数码管显示电梯当前所在楼层位置,每层电梯入口处设有上楼和下楼请求按钮,按下按钮,则相应楼层的led亮2)电梯到达请求楼层,相应的请求led灯灭,电梯门打开,开门5S,电梯自动关门,继续上行(下行)3)每层设有电梯上行和下行指示灯4)能记忆电梯内部的请求信号88 篮球计分器1)具有30秒计时、显示2)可对计时器清零、置数、启动和暂停3)30秒倒计时4)两个数码管显示两队比分5)超时报警并可解除报警99电子琴1)设计一个简易电子琴;2)利用一基准脉冲产生1,2,3,。
EDA程序设计试题及答案
1.请画出下段程序的真值表,并说明该电路的功能。
LIBRARY ieee;USE ieee。
std_logic_1164。
all;ENTITY aaa ISPORT( oe,dir :IN STD_LOGIC ;a,b :INOUT STD_LOGIC_VECTOR(7 DOWNTO 0 );END aaa ;ARCHITECTURE ar OF aaa ISBEGINPROCESS(oe , dir )输入输出BEGIN a1 a0 x3 x2 x1 x0 IF oe=’0' THEN a〈=”zzzzzzzz”;b〈="zzzzzzzz”; 0 0 0 0 0 1 ELSIF oe=’1’THEN 0 1 0 0 1 0 IF dir='0’THEN b<=a; 1 0 0 1 0 0ELSIF dir=’1’THEN a〈=b; 1 1 1 0 0 0ENDIF;END IF ;END PROCESS ;END ar ;功能为:2-4译码器…………………………………………。
4分2.请说明下段程序的功能,写出真值表,并画出输入输出波形。
LIBRARY ieee;USE ieee。
std_logic_1164。
all;USE ieee。
std_logic_arith.all;USE ieee。
std_logic_unsigned.all;ENTITY aaa ISPORT( reset,clk:IN STD_LOGIC;q: BUFFER STD_LOGIC_VECTOR(2 DOWNTO 0));END aaa;ARCHITECTURE bd OF aaa ISBEGINPROCESS(clk,reset)BEGINIF (rese t=’0') THEN q〈=”000";ELSIF (clk'event AND clk='1’)THENIF (q=5)THEN q<="000";ELSE q〈=q+1;END IF;END IF;END PROCESS;END bd;功能为:带进位借位的4位加/减法器 (3)输入输出波形图如下:………………………………………………………7分ma[b[3。
EDA试题——精选推荐
EDA试题⼀、填空(每空1 分,共20 分)1、CPLD是complex programmable logic devices,FPGA是field programmable gatearray。
2、常⽤的硬件描述语⾔有VHDL 、 verilog 、 ABEL。
3、VHDL语句包括顺序语句、并⾏语句。
4、设计过程中的仿真有⾏为仿真、功能仿真、时序仿真三种。
5、状态机由时序逻辑、组合逻辑两个进程构成。
6、VHDL 中,字符的表⽰是‘’,字符串的表⽰是“”。
7、数字系统主要包括数据处理⼦系统、控制⼦系统两部分。
8、VHDL 中有算术操作符、逻辑操作符、关系操作符、符号操作符四类操作符。
⼆、名词解释(每题5 分,共20 分)1、EDA 技术:P12、逻辑综合:P103、⽶⽴机:P2214、⾏为描述:P190三、简答题(每题2 分,共20 分)1、简述EDA 技术的特点,并列出 EDA ⼯程的设计流程。
1)、⽤软件的⽅式设计硬件;2)、⽤软件⽅式设计的系统到硬件系统的转换由有关的开发软件⾃动完成;3)、设计过程中可⽤有关软件进⾏各种仿真;4)、系统可现场编程,在线升级;5)、整个系统可集成在⼀个芯⽚上,体积⼩、功耗低、可靠性⾼;6)、从以前的“组合设计”到真正的“⾃由设计“;7)、设计的移植性好,效率⾼;8)、⾮常适合分⼯设计,团体协作。
2、简述顺序语句和并⾏语句的区别,信号赋值和变量赋值的区别顺序语句:P144;并⾏语句:P165信号赋值和变量赋值的区别:P144四、综合题(每题10 分,共40 分)1、⽤VHDL 描述⼀个3-8 线译码器,电路要求如下:输⼊:a、b、c ,输出:Y(7 DOWNTO 0),由输⼊信号编码的不同,分别在对应的输出位上输出为⾼电平,其余为0。
P1942、⽤VHDL 设计⼀个时钟使能的⼗进制计数器。
输⼊:CLK、CLR、ENA,输出:计数CQ(2 DOWNTO 0),进位:COP2053、设计⼀个全加器电路,设计⽅式不限。
EDA课 题例
一、填空题1.一般把EDA技术的发展分为 MOS时代、 CMOS时代和 ASIC 三个阶段。
2.EDA设计流程包括设计输入、设计实现、实际设计检验和下载编程四个步骤。
3.EDA设计输入主要包括图形输入、 HDL文本输入和状态机输入。
4.时序仿真是在设计输入完成之后,选择具体器件并完成布局、布线之后进行的时序关系仿真,因此又称为功能仿真。
5.VHDL的数据对象包括变量、常量和信号,它们是用来存放各种类型数据的容器。
6.图形文件设计结束后一定要通过仿真,检查设计文件是否正确。
7.以EDA方式设计实现的电路设计文件,最终可以编程下载到 FPGA和 CPLD 芯片中,完成硬件设计和验证。
8.Quartus II的文本文件类型是(后缀名) .VHD 。
9.在PC上利用VHDL进行项目设计,不允许在根目录下进行,必须在根目录为设计建立一个工程目录(即文件夹)。
10.VHDL源程序的文件名应与实体名相同,否则无法通过编译。
二、选择题:11.在EDA工具中,能完成在目标系统器件上布局布线软件称为(C )A.仿真器B.综合器C.适配器D.下载器12.在执行Quartus II的(d )命令,可以精确分析设计电路输入与输出波形间的延时量。
A .Create default symbol B. SimulatorC. CompilerD.Timing Analyzer13.VHDL常用的库是(A)A. IEEEB.STDC. WORKD. PACKAGE14.下面既是并行语句又是串行语句的是( C )A.变量赋值B.信号赋值C.PROCESS语句D.WHEN…ELSE语句15.在VHDL中,用语句(D )表示clock的下降沿。
A. clock’EVENTB. clock’EVENT AND clock=’1’C. clock=’0’D. clock’EVENT AND clock=’0’四、分析题:19.(8分)分析下面的VHDL的源程序,说明设计电路的功能。
EDA技术(课程设计题目)
设计题6—洗衣机控制电路
转60s→待机5s→反 转60s→,并用3个LED灯和7段显示器分别表示其工作状 态和显示相应工作状态下的时间。
2、可自行设定洗衣机的循环次数,这里设置最大的 循环次数为15次。
3、具有紧急情况的处理功能。当发生紧急情况时, 立即转入待机状态,紧急情况解除后,继续执行后续步 骤;
显示方式如下: 1、采用记忆显示方式,即计数过程中不显示数据,待 计数过程结束以后,显示计数结果,并将此显示结果保 持到下一次计数结束,显示时间不小于1s; 2、小数点位置随量程变更自动移位;
待测信号为符合TTL要求的方波信号。
设计题2—游戏电路的设计(模拟掷骰子)
设计要求
本游戏电路是模拟掷骰子,可供两人游戏。游戏者 每按动一次按键可得到1~6范围内的两个数,并按下列 规则决定胜负。 1、第一场比赛,游戏者每人各按一次按键,所得两 数之和为7和11者胜;若无人取胜,则进行第二场比赛; 2、第二场比赛,游戏者每人各按一次按键,所得两数 之和与第一场比赛相同者获胜,所得两数之和为7或11 者负,若无人获胜或负,则重复进行,直至出现胜者 或负者为止;
设计题4—自动售邮票机
设计要求
机器有一个投币口,每次只能投入一枚硬币,但可以连续投入 数枚硬币。机器能自动识别硬币金额,最大为1元,最小为1角, 购票者可选择的邮票面值有1元和5角两种,每次只能售出一枚邮 票。
购票时先选择邮票面值后投币,当投入的硬币总金额达到或超 过邮票面值时,机器应发出指示并拒收继续投入的硬币。
设计题5—万年历的设计
设计要求
设计万年历显示模块,应具备如下功能:
1、能显示年、月、日,时、分、秒两种显示方式; 2、有一个按键能选择不同的显示方式; 3、时间显示可以有按键选择24、12进制显示; 4、能由调时、分的按键,能进行时间的设定。 附加功能: 1、能有星期显示;2、能进行润年的计算; 3、能有秒表、倒计时等功能(自主设定)
eda试题及答案
eda试题及答案一、单选题(每题2分,共10分)1. EDA的全称是:A. 电子数据交换B. 电子设计自动化C. 电子文档自动化D. 电子设备自动化答案:B2. 在EDA中,HDL指的是:A. 高级数据语言B. 硬件描述语言C. 硬件设计语言D. 硬件描述逻辑答案:B3. 以下哪个不是EDA软件的主要功能?A. 逻辑综合B. 布局布线C. 编译代码D. 时序分析答案:C4. 在EDA设计流程中,FPGA指的是:A. 现场可编程逻辑阵列B. 固定可编程逻辑阵列C. 现场可编程门阵列D. 固定可编程门阵列答案:C5. 在EDA设计中,仿真测试的目的是:A. 验证设计的正确性B. 优化设计的性能C. 检查设计的安全性D. 以上都是答案:A二、多选题(每题3分,共15分)6. EDA工具通常包括以下哪些功能?A. 原理图捕获B. 波形仿真C. 代码编译D. 硬件调试答案:ABD7. 在EDA设计中,以下哪些是常见的设计阶段?A. 需求分析B. 逻辑设计C. 物理设计D. 测试验证答案:ABCD8. 以下哪些是EDA设计中常用的硬件描述语言?A. VHDLB. VerilogC. C++D. SystemVerilog9. 在EDA设计流程中,以下哪些是布局布线阶段的任务?A. 确定电路的物理布局B. 优化电路的布线C. 进行时序分析D. 编写测试代码答案:ABC10. 以下哪些是FPGA设计的优势?A. 快速原型验证B. 灵活性高C. 成本较低D. 易于集成答案:ABCD三、判断题(每题2分,共10分)11. EDA工具可以完全替代人工进行电路设计。
()答案:×12. HDL语言可以用来描述数字电路的行为。
()答案:√13. FPGA设计不需要进行时序分析。
()答案:×14. EDA设计流程中,仿真测试是最后一步。
()答案:×15. 逻辑综合是将HDL代码转换为门级网表的过程。
EDA试题样板
………密………封………线………以………内………答………题………无………效……EDA试题样板一问答题( 5分╳2题=10分)1)简述EDA设计的流程2)CPLD器件和FPGA器件结构上有什么明显差别?各有什么特点?二选择题(5分╳2题=10分)1)以下标识符,合法的有:________________①counter②123_loop③module④_catch⑤\1232) 下面表达式中,正确的是:________________①4'b0101 && 4'b1101=4’b0101②9%4=1③5'b10100 << 1=5’b01000④4'b1001 & 4'b0101=1'b1⑤5'b10100 << 1=5'b01001三.请完成下列程序模块的设计(10分╳2题=20分)1)编写一个实现三输入或非门的verilog源程序。
………密………封………线………以………内………答………题………无………效……2)编写一个具有异步清0及同步置1(控制信号均为高电平有效)的D触发器verilog源程序。
四、以下程序用于实现一个移位寄存器,使用的是非阻塞型赋值,如改用阻塞型赋值实现同样的功能,如何实现,请将题目中横线部分的程序补全。
(10分)module block(Q0,Q1,Q2,Q3,din,clk);input clk,din;output Q0,Q1,Q2,Q3;reg Q0,Q1,Q2,Q3;always@(posedge clk)beginQ3<=Q2 ;Q2<=Q1;Q0<=din;endendmodule五、要实现8.1小数分频,应该如何实现,请叙述分析过程及结果。
(15分)………密………封………线………以………内………答………题………无………效……六、请补全以下程序(15分)实现一个累加器电路,请将程序补全(横线部分)。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
EDA设计1单选题1:通过MAX+PLUS2文本编辑器,使用AHDL语言所创建的文本设计文件的后缀为:A:.tdf B:.vhd C:.avi D:.v 答案:A 2:VHDL程序设计的基本结构是:A:库和程序包、实体、结构体B:库和程序包、结构体、配置C:实体、结构体、配置D:库和程序包、实体、配置答案:A 3:下述说明哪个是错的:A:BLOCK语句本身是并行语句 B:BLOCK语句可用于进程中C:BLOCK语句中包含的语句并行语句或顺序语句D:BLOCK语句不含实际逻辑意义答案:C4:当今常用的数字系统设计方法是:A:自底向上B:自顶向下C:中间相遇D:自左到右答案:B5:当PN结外加正向电压时,扩散电流与漂移电流相比会怎样?A:大于B:小于C:等于 D:无法判断答案:A6:在放大电路中,双极型三极管应工作在哪个区域?A:饱和区 B:截止区 C:放大区 D:倒置放大区答案:C7:library ieee;entity t57 isport(a,b,c,s1,s2:in bit;f:out bit);end;architecture w1 of t57 isbeginf<=(a and not s2 and not s1)or(b and not s2 and s1)or(c and s2 and s1);end;上述程序实现的基本逻辑功能是:A:译码 B:编码 C:判断 D:数据三选一答案:D8:VARIABLE是VHDL的:A:全局变量 B:局部变量 C:输出变量 D:输入变量答案:B9:下述那个语句是时钟clk上升沿检测表达式:A:rising_edge(clk) B:falling_edge(clk)C:clk’event and clk= ’0’D:clk=’1’答案:A 10:输入引脚的符号名为“input”,输出引脚的符号名为“output”,它们位于哪个库中:A:prim库 B:mf库C:mega–lpmp D:edif库答案:A 11:下述哪个不是并行语句:A:if B:process C:when_else D:block 答案:A12:下列标识符哪个是对的:A:show_new B:show-new C:show/new D:show\new答案:A多选题 13:时序分析器可进行的分析为:A:输入/输出间的延迟 B:寄存器的性能分析C:目标芯片型号 D:触发器的建立/保持时间答案:ABD14:MAX 7000系列体系结构包裹那些组成部分:A:逻辑阵列块 B:扩展乘积项 C:可编程互联阵列 D:I/O控制块答案:ABCD 判断题15:ispPAC是美国Lattice公司生产的数字可编程芯片。
A:正确 B:错误答案:A16:对于TTL数字集成电路来讲,在使用中不使用的输入端必须接1。
A:正确 B:错误答案:B17:采用状态转移图、元件例化、状态方程、状态机等描述方法建立的数字系统模型称为数字系统的动态模型。
A:正确 B:错误答案:B18:数字系统是指对数字信息进行存储、传输、处理的电子系统,它的输入和输出都是数字量。
A:正确 B:错误答案:A19:CPLD不是门阵列,而是逻辑单元阵列,他与门阵列只是在阵列结构上相似而已。
(Х)FPGA是现场可编程门阵列(Field Programmable Gate Array)英文缩写,它的思路来源于门阵列。
A:正确 B:错误答案:A20:维持阻塞D触发器不可以作计数器。
A:正确 B:错误答案:BEDA设计2单选题 1:下述哪个不是并行语句:A:if B:process C:when_else D:block 答案:A2:下列哪个不是用户自定义的数据类型:A:枚举B:数组C:纪录D:位矢量答案:D3:晶体三极管用来放大时,发射结处于什么方向偏置,集电结处于什么方向偏置?A:正偏,反偏B:正偏,正偏C:反偏,正偏D:反偏,正偏答案:A4:电子系统的基本结构是:A:数字系统B:模拟系统C:数字系统和模拟系统D:数字系统或模拟系统答案:D 5:在考虑放大电路的频率失真时,若ui为正弦波,则uo为:A:有可能产生相位失真B:有可能产生幅度失真和相位失真C:一定会产生非线性失真D:不会产生线性失真答案:B6:在放大电路中,场效应管工作在漏极特性的哪个区域?A:欧姆区B:变小C:不变D:不确定答案:A7:MAX+plus2为实现不同的逻辑功能提供了大量的库文件,每个库对应一个目录,下列哪个是基本库:A:prim库B:mf库C:mega–lpm库D:edif库答案:A8:下列标识符哪个是对的:A:show_newB:show-newC:show/newD:show\new 答案:A9:下列哪个不是VHDL的赋值符号:A:= B::= C:<= D:以上都不是答案:A10:下述那个语句是时钟clk上升沿检测表达式:A:rising_edge(clk)B:falling_edge(clk)C:c lk’event and clk= ’0’D:clk=’1’答案:A11:集成运放内部是由直接耦合方式多极放大电流组成的,作为放大器应用,它是:A:能放大直流信号,不能放大交流信号B:能放大交流信号,不能放大直流信号C:既能放大直流信号,也能放大交流信号D:以上都不对答案:C 12:下列哪个图标为指定项目名,即打开一个项目:A:B:C:D:答案:B多选题 13:时序分析器可进行的分析为:A:输入/输出间的延迟B:寄存器的性能分析C:目标芯片型号D:触发器的建立/保持时间答案:ABD 14:MAX 7000系列体系结构包裹那些组成部分:A:逻辑阵列块B:扩展乘积项C:可编程互联阵列D:I/O控制块答案:ABCD 判断题 15:模拟可编程器件与数字可编程器件的区别在于它不可以实现现场可编程。
A:正确B:错误答案:B16:若将一个正弦电压信号转换成同一频率的矩形波应当采用施密特触发器电路。
A:正确B:错误答案:A17:处于线形工作状态下的集成运放,反相输入端可按虚地来处理。
A:正确B:错误答案:B18:维持阻塞D触发器不可以作计数器。
A:正确B:错误答案:B19:TTL与非门输出端不能并联使用。
A:正确 B:错误答案:A20:为构成4096×4的RAM,需要16片1024×1的RAM。
A:正确B:错误答案:AEDA设计3单选题 1:选择器件EPM7128SLC84-15设计所需功能,在通过项目编译后可生成用于下载的文件后缀是什么:A:.pof B:.scf C:.sof D:.gdf 答案:A2:下列哪个不是VHDL的运算符:A:逻辑运算B:关系运算C:赋值运算D:连接运算答案:C3:下述说明哪个是错的:A:进程必须用敏感信号的变化来启动B:在进程中不允许定义信号C:一个进程中允许有多个时钟信号D:可以认为进程是一个无限循环语句答案:C4:library ieee;entity t57 isport(a,b,c,s1,s2:in bit;f:out bit);end;architecture w1 of t57 isbeginf<=(a and not s2 and not s1)or(b and not s2 and s1)or(c and s2 and s1);end;上述程序实现的基本逻辑功能是:A:译码B:编码C:判断D:数据三选一答案:D5:晶体三极管用来放大时,发射结处于什么方向偏置,集电结处于什么方向偏置?A:正偏,反偏B:正偏,正偏C:反偏,正偏D:反偏,正偏答案:A6:共模抑制比KCMR越大,表明电路:A:放大倍数越稳定B:交流放大倍数越大C:抑制温漂能力越强D:输入信号中的差模成分越大答案:B7:电子系统的基本结构是:A:数字系统B:模拟系统C:数字系统和模拟系统D:数字系统或模拟系统答案:D8:VHDL程序设计结构体的基本功能是:A:声明结构体中将用到的数据类型、元件、常数、子程序等B:定义本设计的输入/输出端口C:说明本设计内部结构与外部端口间的逻辑关系D:为本设计选定特定的结构体答案:C 9:下列哪个不是VHDL的关系运算符:A:<= B:>= C:/= D:/〉答案:D10:VARIABLE的赋值符号为:A:: B::= C:<= D:= 答案:B11:library ieee;entity t49 isport(a,b:in bit;f:out bit);end;architecture w1 of t49 isbeginf<=not a and not b;end上述程序的逻辑功能是:A:B: C: D:难以确定答案:D 12:PLD是可编程逻辑器件的英文缩写,其英文全称:A:Programming Logic Device B:Programmable Logical DeviceC:Programming Logical Device D:Programmable Logic Device 答案:D多选题 13:时序分析器可进行的分析为:A:输入/输出间的延迟B:寄存器的性能分析C:目标芯片型号D:触发器的建立/保持时间答案:ABD14:目前使用较广的PLD有哪两大类?A:XilinxB:CPLDC:ASICD:FPGA 答案:BD判断题 15:TTL与非门输入端可以接任意电阻。
A:正确B:错误答案:B16:逻辑电路中,高电平用1表示,低电平用0表示,则称为正逻辑。
A:正确B:错误答案:A17:对于TTL数字集成电路来讲,在使用中不使用的输入端必须接1。
A:正确B:错误答案:B18:对于TTL数字集成电路来讲,在使用中电源电压极性不得接反,其额定值为5V。
A:正确B:错误答案:A19:模拟可编程器件与数字可编程器件的区别在于它不可以实现现场可编程。
A:正确B:错误答案:B20:维持阻塞D触发器不可以作计数器。
A:正确B:错误答案:BEDA设计4单选题 1:在数字系统Moore型有限状态机中:A:输出仅是现态的函数B:输出仅是输入的函数C:输出同时是现态和输入的函数D:不出现任何状态答案:A2:library ieee;entity t57 isport(a,b,c,s1,s2:in bit;f:out bit);end;architecture w1 of t57 isbeginf<=(a and not s2 and not s1)or(b and not s2 and s1)or(c and s2 and s1);end;上述程序实现的基本逻辑功能是:A:译码B:编码C:判断D:数据三选一答案:D3:在数字系统设计中VHDL的基本任务是:A:设计说明B:行为描述C:门级描述D:网表制作答案:B4:library ieee;entity t54 isport(a,b:in bit;f:out bit);end;architecture w1 of t54 isbeginf<=( )end;若用上述程序实现同或逻辑,括号内填入哪个方案是错的:A:a xnor b;B:not(a xor b);C:a nxor b; D:a xor not b; 答案:C5:SIGNAL的赋值符号为:A::B::= C:<= D:= 答案:C6:共模抑制比KCMR越大,表明电路:A:放大倍数越稳定B:交流放大倍数越大C:抑制温漂能力越强D:输入信号中的差模成分越大答案:B7:顺序语句不能出现在VHDL结构体的:A:进程B:块C:子程序D:元件例化答案:D8:晶体三极管用来放大时,发射结处于什么方向偏置,集电结处于什么方向偏置?A:正偏,反偏B:正偏,正偏C:反偏,正偏D:反偏,正偏答案:A9:与甲类功率放大方式比较,乙类OCL互补对称功放的主要优点是:A:不用输出变压器B:不用输出端电容C:效率高D:无失真答案:C10:下述哪个语句可用于描述触发器:A:if_then_elseB:if_then_elsif_then_else C:when_else D:if_then 答案:B11:下列哪个不是VHDL的逻辑运算符:A:ANDB:ANDN C:XOR D:NAND 答案:B12:放大器产生零点漂移的主要原因是:A:环境温度变化B:电压增益太大C:采用直接耦合方式D:采用阻容耦合方式答案:A 多选题 13:在设计ASIC或者是FPGA时,设计过程的选择方式有哪些:A:自顶向下的设计方法B:自底向上的设计方法C:在中间向两边的设计方法D:在中间相遇答案:ABD14:目前使用较广的PLD有哪两大类?A:Xilinx B:CPLD C:ASIC D:FPGA 答案:BD判断题 15:维持阻塞D触发器不可以作计数器。