倒计时数字电路
篮球比赛30秒倒计时电路设计
篮球竞赛30s倒计时的设计1课程设计背景二十一世纪,人类迈入信息时代,电子科学技术在人们生活中的运用越来越普遍。
运用模电和数电知识设计的电子产品成为社会生活不可缺少的一部分,特别是在各种竞技运动中,计时器成为检验运动员成绩的一个重要工具。
篮球作为一项全民健身项目,已有一定的历史。
在中国,篮球很盛行,篮球比赛也日趋职业化。
篮球比赛中有一项违例时间要用倒计时器,目前多数采用的是24秒制,但随着篮球制度的改革将会采用30秒制。
有需要就会有市场,因此设计一款30秒计时器是非常有必要也非常有前景的。
该款计时器是在原来的基础上把24秒制改为30秒制。
该计时器要有递减计时及报警功能。
因此符合比赛中违例判罚的需要。
在篮球比赛中,规定了球员的持球时间不能超过30秒,否则就犯规了。
本课程设计的“篮球竞赛30秒计时器”,可用于篮球比赛中,用于对球员持球时间30秒限制。
一旦球员的持球时间超过了30秒,它自动的报警从而判定此球员的犯规。
本设计是74LS192芯片作为减数功能的30秒倒计时计数器。
该计数器主要包括555构成的震荡电路,74LS192模块,数码管等模块单元。
经测试,计数器可实现显示30秒倒计时功能,系统设置外部操作开关,控制计时器的直接清零、启动功能,发出光电报警信号。
该设计电路简单、使用方便,功能稳定多样,具有很强的实用价值。
2课题设计要求(1)具有显示30S的计时功能;(2)设置外部操作开关,控制计时器的直接清零、启动和暂停/连续功能;(3)计时器为30S递减计时器,其计时间隔为1S;(4)计时器递减计时到零时,数码显示器不能灭灯,应发出光电报警信号3设计任务及目标(1)根据给出的电路原理图分析各单元电路的功能;(2)熟悉电路中所用到的各集成块的管脚及其功能;(3)进行电路的安装、调试,直到电路能达到规定的设计目标;(4)写出完整、详细的课程设计报告。
4课题设计框图5电路设计5.1设计原理分析设计任务,该系统包括秒脉冲发生器、计数器、译码显示电路、控制电路和报警电路5个部分构成。
倒计时电路的原理
倒计时电路的原理
倒计时电路的基本原理是利用时序控制信号来控制计数器的工作,实现倒计时功能。
该电路主要由计数器、时钟信号源、时序控制逻辑电路和显示电路组成。
首先,时钟信号源提供稳定的时钟信号作为计数器的驱动。
计数器是一个数字电路,能够按照时钟信号的脉冲进行计数。
当计数器的计数值达到预设的初始值时,会触发一个时序控制信号。
时序控制逻辑电路根据时序控制信号的触发条件,生成控制信号来控制计数器的状态转换。
在倒计时电路中,当时序控制信号触发时,控制信号会使计数器的计数值减1,实现倒计时的效果。
显示电路用来将计数器的输出结果转换为可视化的形式,例如数字显示器或LED灯等。
在倒计时电路中,显示电路会实时显示计数器的当前计数值,从而实现倒计时的可视化效果。
综上所述,倒计时电路通过计数器、时钟信号源、时序控制逻辑电路和显示电路的协同工作,实现了倒计时的功能。
通过调整初始值和控制信号的触发条件,可以实现不同的倒计时时间设置。
arduino4位数码管倒计时程序逻辑
arduino4位数码管倒计时程序逻辑【Arduino 4位数码管倒计时程序逻辑】引言:Arduino是一款开源的电子开发平台,可以利用其强大的功能来实现各种实时控制的项目。
本文将介绍如何使用Arduino搭建一个4位数码管的倒计时程序,帮助读者更好地理解和掌握Arduino的编程逻辑。
一、准备工作:首先,我们需要准备以下材料:1. Arduino主控板2. 4位数码管(带有共阳极或共阴极)3. 4个220欧姆的电阻4. 面包板、杜邦线等连接材料二、电路连接:将Arduino主控板与4位数码管通过杜邦线连接起来,并根据数码管的类型选择合适的连接方式。
如果是共阳极数码管,将将数码管的数字空脚连接到Arduino的数字引脚上;如果是共阴极数码管,将数字引脚连接到Arduino的数字引脚上,而将汇流脚连接到Arduino的地(GND)引脚上。
连接完成后,通过电阻将Arduino的供电引脚和数码管的供电引脚连接起来,以确保电流稳定。
三、编程逻辑:接下来,我们将使用Arduino IDE来编写倒计时程序。
打开Arduino IDE,创建一个新的空白文件,并编写如下代码:引入数码管库#include <SevSeg.h>定义数码管引脚SevSeg sevseg;初始化倒计时时间unsigned long countdownTime = 5; 倒计时时间为5秒unsigned long targetTime;int seconds;void setup() {设置数码管引脚sevseg.Begin(COMMON_ANODE, 4, 2, 3, 5, 6, 7, 8); 数码管引脚分别连接到Arduino的数字引脚4、2、3、5、6、7、8设置数码管亮度sevseg.setBrightness(50); 设置亮度为50,可以根据需要进行调整初始化目标时间targetTime = millis() + countdownTime * 1000;}void loop() {获取剩余时间unsigned long remainingTime = targetTime - millis();判断倒计时是否结束if (remainingTime > 0) {计算剩余秒数seconds = remainingTime / 1000;显示剩余秒数sevseg.setNumber(seconds, 0); 显示数字sevseg.refreshDisplay(); 刷新显示} else {倒计时结束sevseg.setNumber(0, 0); 显示0sevseg.refreshDisplay(); 刷新显示}}四、程序解析:1. 首先,我们引入了SevSeg库来操作数码管。
1分钟倒计时计数器(附后10s警报)自主设计实验
1分钟倒计时计数器(附后10s警报)1、实验预期设计一个一分钟倒计时计数器,在一分钟的最后十秒钟另可显示10s倒计时,并且指示灯点亮作为警告提示。
2、实验目的(1)设计可用于日常生活和学习中演讲,答题等情况下的一分钟倒计时计数器,并且附有警报提示功能;(2)熟悉74LS161,74LS192,数码管的工作原理;(3)掌握逻辑电路的设计和multisim软件的仿真;(4)体会数字电路的优点及其在生活中的应用;3、总体设计方案电路由两部分构成:(1)一片74LS161和一片74LS192构成的60进制加法计数器:加入1HZ的时钟信号后,这一部分电路可以实现00-59的加法计数。
每隔一秒钟记一次数,用于记录时间,并将实时计数情况通过数码管显示出来。
当计数器个位数达到9时,个位的192芯片发出进位信号,异步置数恢复到0的初始状态重新计数,并向十位的161芯片发送进位脉冲钟频信号;当计数器十位数达到5时,十位的161芯片异步置数,加入脉冲上升沿信号恢复到0的初始状态,然后重新计数。
上述过程将重复进行(2)一片74LS192构成的10进减法计数器:当60进制加法计数器十位数达到5时,UP端口出现高电平,192芯片进入减法计数状态,同时LED灯点亮。
当192芯片计数为0时,刚好达到1分钟,此时LED灯熄灭,倒计时完毕,重新进入下一轮倒计时过程。
4、实验电路图5、仪器设备名称和型号名称数量74LS161芯片 174LS00芯片 174LS192芯片 2 模拟、数字电子技术实验箱 1脉冲信号 1直流稳压电源 1电阻 1导线若干74LS00引脚图:74LS161引脚图:74LS192引脚图:6、仿真分析结果U174LS161NU3A74LS00NVCC5VVCC XFG1U4DCD_HEXU5DCD_HEX 21019U674LS192NU3D74LS00NU7DCD_HEX14151617VCC5VVCC3U274LS192N 8VCC 5VVCC56711U3B 74LS00N1218X22.5 V4通过multisim 软件仿真,可以实现一分钟倒计时计数器功能,在一分钟的最后十秒钟另可显示10s 倒计时,并且指示灯点亮作为警告提示。
篮球比赛24秒倒计时电路的设计
故障2:搭建完全部电路后,按下拨动开关,显示电路显示数值 不发生改变。 解决:在对控制电路所有的电路连接方式,元器件的单独检查, 跟完成品的联合检测,最终发现故障为控制电路所处74LS10芯 片构成的与非门没有正常连接进电路,把10芯片拔出来才发现 原来10芯片的第7脚被折弯,没有插进电路板,导致10芯片没有 接地从而导致电路故障,换了芯片后故障排除。
实验步骤
(二)计数器
计数器是一个用以实现计数功能的时序部件,它不仅可用来计脉冲数, 还常用作数字系统的定时、分频和执行数字运算以及其它特定的逻辑功、 能。结合我们所学习的各芯片特点,只有74LS19系列芯片属于可减计 数,我们选取了74LS192芯片进行实验。 利用减计数RD= 0, LD= 0, CPD=1, 实现计数器按8421 码递减进行减计 数。利用借位输出端BO 与下一级的CPD 连接, 实现计数器之间的级联。 利用预置数LD 端实现异步置数。当RD= 0, 且LD= 0 时, 不管CPU 和 CPD 时钟输入端的状态如何, 将使计数器的输出等于并行输入数据, 即 Q3Q2Q1Q0= D3D2D1D0。
心得体会
• 通过这次课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识 是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结 论,从而提高自己的实际动手能力和独立思考的能力。在设计的过程中遇到 问题,可以说得是困难重重,这毕竟第一次做的,难免会遇到过各种各样的 问题,同时在设计的过程中发现了自己的不足之处,对以前所学过的知识理 解得不够深刻,掌握得不够牢固。这次课程设计终于顺利完成了,在设计中 遇到了很多专业知识问题,最后在老师的辛勤指导下,终于游逆而解。此次 课程设计,学到了很多课内学不到的东西,比如独立思考解决问题,出现差 错的随机应变,和与人合作共同提高,都受益非浅,今后的制作应该更轻松, 自己也都能扛的起并高质量的完成项目。在此,感谢王老师的细心指导,也 同样谢谢其他各组同学的无私帮助!
数字电路课程设计_24秒倒计时
赣南师院物理与电子信息学院数字电路课程设计报告书姓名:班级:学号:时间:图1 总原理框图1.1 555定时器555定时器是一种多用途的数字-模拟混合集成电路,用它可以构成施密特触发器、单稳态触发器和多谐振荡器。
本设计用LM555CM定时器设计一个多谐振荡器给电路提供脉冲信号,产生的脉冲信号用由74LS192进行计数,且设置周期为1秒。
1.1.1555定时器管脚名称和功能图2 555的管脚名称1脚为接地端,也是芯片的公共端。
2脚为C2比较器的信号输入端V2l又称为触发端。
它们输入的信号可以图3 555定时器的内部结构1.1.3 555定时器的功能表输入各级输出T 1状态D RV 1l V 2L V 1C V 2C触发器输出Q 1+n输出V OT 1状态0 ⨯ ⨯ ⨯ ⨯0 低电平 导通 1 (32)V CC (31V CC ) 0 1 0 低电平 导通 1 (32)V CC (31V CC ) 0 0 1 高电平 截止 1 (32)V CC (31V CC ) 1 0 0 高电平 截止 1(32)V CC (31V CC ) 11Q不变不变表1 555定时器的功能表1.274LS192十进制同步加减计数器图5 74LS192的内部结构图1.2.374LS192的功能表表2 74LS192的功能表1.374LS48七段译码器本设计中用共阴极七段显示数码管,为使七段显示数码管能正常工作,将74LS190连接到74LS48,74LS48将高低电平信号译成数码管可读信号,从而实现数字的显示。
1.3.174LS48的引脚排列图6 74LS48的引脚排列1.3.274LS48的真值表表3 74LS48的逻辑功能真值表1.4共阴极数码管数码管有共阴和共阳之分,本设计使用共阴数码管,因为译码器使用为74LS48,相应的数码管要用相应的译码器才能实现译码和显示数字。
1.4.1共阴数码管的引脚排列和简易符号图7 八段共阴数码管图8 数码管简易图1.4.2 共阴数码管的内部结构图9 共阴数码管内部结构2电路分析和仿真结果由上对各个元件进行的简介以及相关的原理图,下面对电路进行分部分析。
倒计时电路设计课程设计
倒计时电路设计课程设计一、课程目标知识目标:1. 理解倒计时电路的基本原理,掌握电路的设计与搭建方法;2. 掌握计时器集成电路的使用,了解其工作原理及功能;3. 了解数字电路基础知识,如逻辑门、触发器等,并能运用到倒计时电路设计中。
技能目标:1. 能够运用所学知识,设计并搭建简单的倒计时电路;2. 学会使用相关仪器、工具进行电路调试,排除故障;3. 提高动手实践能力,培养团队协作能力。
情感态度价值观目标:1. 培养学生对电子技术的兴趣,激发创新意识;2. 培养学生严谨的科学态度,注重实验操作的规范性和安全性;3. 增强学生的环保意识,养成良好的电路废弃物处理习惯。
课程性质:本课程为实践性较强的电子技术课程,注重理论知识与实际操作相结合。
学生特点:学生具备一定的电子技术基础,对新鲜事物充满好奇,喜欢动手实践。
教学要求:结合学生特点,注重启发式教学,引导学生主动探究,提高实践能力。
将课程目标分解为具体的学习成果,以便在教学过程中进行有效指导和评估。
二、教学内容1. 理论知识:- 电路基础知识:回顾数字电路基础,如逻辑门、触发器等;- 计时器集成电路:介绍计时器集成电路的原理、功能及其在倒计时电路中的应用;- 倒计时电路原理:讲解倒计时电路的基本原理及设计方法。
2. 实践操作:- 电路设计:指导学生设计简单的倒计时电路,包括电路图绘制、元件选型等;- 电路搭建:学生动手搭建倒计时电路,熟悉仪器、工具的使用;- 电路调试:学生进行电路调试,排除故障,确保电路正常运行。
3. 教学大纲:- 第一周:回顾电路基础知识,介绍计时器集成电路;- 第二周:讲解倒计时电路原理,进行电路设计;- 第三周:学生动手搭建倒计时电路,进行调试与优化。
教学内容安排与进度:课程共分为三周,每周分别对应上述教学内容。
教材章节关联:《电子技术基础》第四章 数字电路基础、《电子技术实践》第二章 计时器集成电路应用。
教学内容注重科学性和系统性,结合理论与实践,使学生能够扎实掌握倒计时电路的设计与搭建方法。
数电大作业—30s倒计时器
1、掌握计时器的逻辑功能,能描述电路元器件参数、功能和系统工作原理。 2、熟悉电路中所用到各集成模块的管脚及功能。
3、通过proteus仿真,观察并大致了解其设计在实际生活中的效果。
三、实验原理和电路
实验电路仿真图
实验元器件及介绍:两个74LS192双时钟方式的十进制可逆计数器、两个4511七段译码器、
1
4011四2输入与非门、4069六反相器、LED灯,开关
, 74LS192
74LS192是十进制可编程同步加锁计数器,它采用8421码二-十进制编码,并具有直接清零、置数、加锁计数功能。其中CP、CP分别是加计数器、减计数器的时钟脉冲输入UD
端(上升沿有效)。PL是异步并行置数控制端(低电平有效),TC、TC分别是进位、UD借位输出端(低电平有效),MR是异步清除端,P0~P3是并行数据输入端,Q3~Q0是输出端。我们将用到的是它的减计数功能。
不过在此次proteus仿真中,就是一个非门,如下图:
4
5
74ls192引脚图:
CPCP:计数芯片时钟脉冲输入 :倒计时时钟脉冲输入 UD
PL:异步并行负载(低电平)输入 Pn:并行数据输入
Qn:触发器输出 MR:异步主复位(清除)输入 TCTC:终端数最多输出 :终端倒计时输出 UD
74ls192功能表:
输入 输出 MR PL CPU CPD P3 P2 P1 P0 Q3 Q2 Q1 Q0 1 X X X X X X X 0 0 0 0 0 1 X X d c b a d c b a 0 0 1 X X X X 加计数
2
0 0 1 X 192的工作原理:
CPCP 当PL=1,MR=0时,若时钟脉冲加入到端,且置数=1,则计数器在预置数的基UD
数字电路技术基础-倒计时器实验
倒计时器实验实验要求设计25分钟倒计时器,输入为key1和key2,初始状态为数码管显示2500。
通过key1控制切换计数与暂停状态。
当key1按下时,开始倒计时,再次按下,暂停计时。
通过key2控制置初值。
暂停状态下,当key2按下时,回到初始状态,数码管显示2500。
设计暂不考虑倒计时到0000时的处理,要做处理需要增加输入(计数到零时的标志位)和状态(归零状态)。
提示:上节课完成的25分钟倒计时可以增加两个输入,一个为计数使能端,一个为置数端。
使用课前预习的状态机模块,控制25分钟倒计时模块。
调试时,可先使用开关作为状态机的按键输入,测试没有问题后,再加入按键控制。
1.源代码1)counter25_top.v`timescale 1ns / 1psmodule counter25_top(input wire clk,input wire key1,input wire key2,output wire[6:0]a_to_g,output wire[3:0]an);wire jinwei;wire a;wire b;wire anjian1,anjian2;Sec_pule_generator U0(.clk(clk),.sec_pule(jinwei));ButtonEdgeDetect U3(.clk(clk),.button(key1),.rise(anjian1));ButtonEdgeDetect U4(.clk(clk),.button(key2),.rise(anjian2));State U1(.clk(clk),.key1(anjian1),.key2(anjian2),.cnt_en(a),.load(b));counter25 U2(.clk_sec(jinwei),.clk(clk),.cnt_en(a),.load(b),.a_to_g(a_to_g),.an(an));Endmodule2)ButtonEdgeDetect.v module ButtonEdgeDetect(input clk,input button,output reg rise);reg[7:0] samp;initialbeginrise=0;samp=8'b0000_0000;endalways @(posedge clk)samp<={samp[6:0],button};always @(posedge clk)if(samp==8'b0000_0001)rise<=1'b1;elserise<=1'b0;// always @(posedge clk)// if(samp==8'b1111_1110) // fall<=1'b1;// else// fall<=1'b0;Endmodule3)Sec_pul_genterator.v `timescale 1ns / 1psmodule Sec_pule_generator( input clk,output reg sec_pule);parameter M=24;reg [M:0] cnt;initial cnt=25'b0;always@(posedge clk)beginif(cnt==10000000)cnt<=0;elsecnt<=cnt+1;endalways@(posedge clk)beginif(cnt==10000000)sec_pule<=1;elsesec_pule<=0;endendmodule4)counter25.v`timescale 1ns / 1psmodule counter25(input wire clk,input wire clk_sec,input wire cnt_en,input wire load,output reg[6:0]a_to_g, output reg[3:0]an);reg [15:0] cnt25;reg carry;initial begincnt25[3:0]=0;cnt25[7:4]=0;cnt25[11:8]=5;cnt25[15:12]=2;endalways@(posedge clk_sec)beginif(cnt_en==1&&load==0)begincarry<=0;if(cnt25[3:0]==0&&cnt25[7:4]==0&&cnt25[11:8]==5&&cnt25[15:12]==2) begincnt25[3:0]=9;cnt25[7:4]=5;cnt25[11:8]=4;cnt25[15:12]=2;endelse begincnt25[3:0]<=cnt25[3:0]-1;if(cnt25[3:0]==0)begincnt25[3:0]<=9;cnt25[7:4]<=cnt25[7:4]-1;endif(cnt25[7:4]==0&&cnt25[3:0]==0)begincnt25[7:4]<=5;cnt25[11:8]<=cnt25[11:8]-1;endif(cnt25[11:8]==0&&cnt25[7:4]==0&&cnt25[3:0]==0)begincnt25[11:8]<=9;cnt25[15:12]<=cnt25[15:12]-1;endif(cnt25[15:12]==0&&cnt25[11:8]==0&&cnt25[7:4]==0&&cnt25[3:0]==0) begincnt25[3:0]<=0;cnt25[7:4]<=0;cnt25[11:8]<=5;cnt25[15:12]<=2;carry<=1;endendendelse if(cnt_en==0&&load==0)begincnt25[3:0]<=cnt25[3:0];cnt25[7:4]<=cnt25[7:4];cnt25[11:8]<=cnt25[11:8];cnt25[15:12]<=cnt25[15:12];endelse if(cnt_en==0&&load==1)begincnt25[3:0]<=0;cnt25[7:4]<=0;cnt25[11:8]<=5;cnt25[15:12]<=2;endendwire rst_n=1'b1;wire [15:0]x;assign x[3:0]=cnt25[3:0];assign x[7:4]=cnt25[7:4];assign x[11:8]=cnt25[11:8];assign x[15:12]=cnt25[15:12];reg[18:0]clkdiv;always @(posedge clk or negedge rst_n)begin if(!rst_n)clkdiv<=19'd0;elseclkdiv<=clkdiv+1;endwire [1:0]bitcnt;assign bitcnt=clkdiv[18:17];always @* beginif(!rst_n)an=4'd0;elsean=4'd0;an[bitcnt]=1;endreg [3:0]digit;always@(*)beginif(!rst_n)digit=4'd0;elsecase(bitcnt)2'd0:digit=x[3:0];2'd1:digit=x[7:4];2'd2:digit=x[11:8];2'd3:digit=x[15:12];default:digit=4'd0;endcaseendalways @(*) beginif(!rst_n)a_to_g=7'b1111111;elsecase(digit)0:a_to_g=7'b1111110;1:a_to_g=7'b0110000;2:a_to_g=7'b1101101;3:a_to_g=7'b1111001;4:a_to_g=7'b0110011;5:a_to_g=7'b1011011;6:a_to_g=7'b1011111;7:a_to_g=7'b1110000;8:a_to_g=7'b1111111;9:a_to_g=7'b1111011;4'b1111:a_to_g=7'b0000000;default a_to_g=7'b1111110;endcaseendendmodule2.RTL分析3.仿真波形4.实验结果实验演示见附件中的视频。
数字电路实训报告-30秒倒计时
实训报告
实训名称:数字电子技术实训
专业:电子信息工程
班级:0906班
姓名:于孟飞
学号:07090640
指导老师:程光老师
实习时间:09-10第2学期第15周
译码显示电路由74LS48和共阴极七段LED显示器组成。
管代替。
当启动开关闭合时,控制电路应封锁时钟信号CP,
示电路显示“30”字样;当启动开关断开时,计数器开始倒计数;当暂停/连续开关拨到相应位置起到暂停和继续的功能,当译码器显示“00”时同时
现短路,应为是正面视角布线注意反焊板时不要弄错位置。
把元器件全部按照布线图完成走线。
周四:(电路检测)
对已焊接完成的电路进行冷态测试,
看电路是否存在短路,检测所有IC地线
和5V供电是否接通,在检测无误后通电。
观察电路是否可以按照设计实现功能,如
果出问题则依照原理检测问题。
我的电路接通电后发现在复位后预
置数正确,为30,但在拨通连续开关后
、暂停开关问题,发现非门输入输出电位一样,检测发现短路,原因为漏锡短接到正面飞线且挡到没有发现,排除故障后发现工作正常,在检测中发现问题最好不要继续通电测试,尤其是发现IC发烫的时候,到此检测完成。
数字电路课程设计-定时显示报警电路
数字电路基础课程设计报告定时显示报警电路设计内容:设计一个可预置时间(1~77秒)的倒计时报警电路, 具有时间显示功能, 能准确地预置和清零。
计时电路递减计时开始后, 每隔 1 秒, 计时器减 1, 当减到 0 时, 显示器显示 00, 同时发出报警信号(光信号)。
一、设计思路:定时器有启动电路、秒脉冲发生器、预置输入电路、计数器、译码显示电路、报警电路和控制电路共7部分组成, 其中, 计数器和控制电路是系统的主要部分, 计数器完成计时功能, 控制电路完成计数器的直接清零、启动计数、暂停/连续计数、译码显示电路的显示与灭灯、定时时间报警等功能。
流程框图:二、各单元设计1.秒脉冲发生电路秒脉冲发生器采用多谐振荡器直接振荡出1秒的脉冲, 多谐振荡器采用555定时器组成。
用555电路可以组成施密特触发器, 利用施密特触发器的回差特性, 在电路的两个输入端与地之间接入充放电电容C, 并在输出、输入端接入反馈电阻, 就组成一个反馈式多谐振荡器。
基本原理:当接通电源时, 由于电容两端的电压不能突变, 定时器的2端为低电平, 输出端3端为高电平, 电源经过R1, R2给电容充电, 点电容电压到电源电压的2/3时, 555内部NMOS管导通, 输出为低电平;电容通过R2和NMOS管放电, 当电容两端电压下降到低于电源电压的1/3时, NMOS管截止, 电容停止放电, 电源通过R1、R2再次向电容充电, 如此反复形成震荡。
振荡周期为: T=C*(R1+2R2)/1.43改变R、C的值可以改变充放电时间, 也就是改变电路的振荡频率。
555振荡器电路图:在本次实验中, 使用R1=15KΩ, R2=68KΩ, C=10μF, 产生1Hz, 占空比50%的秒信号。
1Hz振荡器如图:2.预置、计数、显示电路(1)74LS148编码器74LS148是八线-三线优先编码器在优先编码器电路中, 允许同时输入两个以上编码信号。
在同时存在两个或两个以上输入信号时, 优先编码器只按优先级高的输入信号编码, 优先级低的信号则不起作用。
倒计时报警器电路设计
成绩课程设计说明书题目:倒计时报警器电路设计课程名称:数字电子技术学院: 电子信息与电气工程学院学生姓名:学号:************专业班级:自动化2011级2班指导教师:**2013年6 月课程设计任务书倒计时报警电路设计摘要:设计制作了一个倒计时报警器控制电路,该电路倒计时的时间范围为1~99秒,并且倒计时时间可调;当倒计时时间结束时,电路发出光报警信号,报警时间长度为5秒,报警信号用红色LED表示。
控制电路主要由:555定时器、十进制可逆计数器74LS192、共阳极驱动器74LS47、共阳极数码管、四输入与非门74LS00、拨码开关等组成。
555定时器构成多谐振荡器,产生振荡周期为1秒的时钟信号;74LS192构成十进制计数器,并对时钟信号进行计数;74LS47可以将输入的BCD 代码译成共阳极数码管所需的驱动代码。
关键词:555定时器;计数器;译码器;数码管;电路仿真目录1.设计背景 (1)1.1 Multisim 10的定义及对PCB的认识 (1)1.2 了解数字电路系统和数字电路的定义和组成 (1)2. 设计方案 (1)2.1 任务分析 (1)2.2 方案论证 (2)3. 方案实施 (2)3.1 原理图设计 (2)3.2 仿真电路 (4)3.3 PCB板制作 (5)3.4 安装与调试 (5)4. 结果与结论 (6)5. 收获与致谢 (6)6. 参考文献 (7)7. 附件 (7)7.1 电路原理图 (7)7.2 PCB布线图 (8)7.3 实际电路图 (8)7.4 元器件清单 (9)1. 设计背景1.1 Multisim 10的定义及对PCB的认识Multisim是一款专门用于电子线路仿真与设计的END工具软件。
Multisim 10是一个完整的集成化设计环境,他将计算机仿真和虚拟仪器技术完美的结合在一起,为电子线路的仿真和设计提供了良好的环境。
PCB不仅仅是固定或装配各种电子零件的基板,更重要的是实现各种电子元器件的电气连接或电绝缘,提供电路要求的电气特性(特性阻抗等)。
45秒篮球倒计时数电课程设计
摘要本电路主要由五个模块构成:秒脉冲发生器、计数器、译码显示电路、把握电路和报警电路,主要承受 555 作为振荡电路, 由 74LS192、74LS48 和七段共阴LED 数码管构成计时显示电路, 具有直接把握计数器启动计数、暂停/连续计数、清零、译码显示电路的显示等功能。
当把握电路的置数开关闭合时,在数码管上显示数字 45,每当一个秒脉信号输入到计数器时,数码管上的数字就会自动减1,当计时器递减到零时,报警电路发出光电报警信号。
整个电路的设计借助于Multisim 11.0 仿真软件和数字规律电路相关理论学问,并在Multisim 11.0 下设计和进展仿真,得到了预期的结果。
设计内容及要求:本设计主要能完成:显示 45 秒倒计时功能;系统设置外部操作开关,把握计时器的直接清零、启动和暂停/连续功能;在直接清零时,数码管显示器全部显示为“0”;计时器为 45 秒递减计时其计时间隔为 1 秒;计时器递减计时到零时,数码显示器不灭灯,同时发出光电报警信号等。
方案论证及比较:方案一:用555 时基电路构成的多谐振荡器来产生频率为 1Hz 的脉冲,即输出周期为 1 秒的方波,接着将该信号送到计数器 74LS192 的 CP 减计数脉冲端, 再通过译码器 74LS48 把输入的 8421BCD 码经过内部作和电路“翻译”成七段输出,这样加在 LED 七段数码管上显示十进制数,然后在适当的位置设置开关或把握电路即可实现计数器的直接清零,启动和暂停/连续、报警等功能。
方案二:由 14 位二进制串行计数器/分频器和振荡器 CD4060、BCD 同步加法计数器 CD4518 构成的秒信号发生器。
电路中利用CD4060 组成两局部电路。
一局部是14 级分频器,其最高分频数为16384;另一局部是由外接电子表用石英晶体、电阻及电容构成振荡频率为32768Hz 的振荡器。
震荡器输出经14 级分频后在输出端Q14 上得到1/2 秒脉冲并送入由1/2 CD4518 构成的二分频器,分频后在输出断Q1 上得到秒基准脉冲。
倒数计时器数电课程设计
倒数计时器数电课程设计倒数计时器是一种常见的电子计时器,它可以在一定时间内进行倒计时,并在倒计时结束时发出警报。
在数电课程设计中,倒数计时器是一个非常重要的实验项目,它可以帮助学生深入了解数字电路的原理和设计方法。
在设计倒数计时器时,首先需要确定计时器的时间范围和精度。
一般来说,倒数计时器的时间范围可以从几秒钟到几小时不等,而精度则取决于计时器所使用的时钟频率和计数器的位数。
例如,如果使用一个1MHz的时钟和一个16位的计数器,那么倒数计时器的最小时间单位就是1微秒。
接下来,需要选择适当的计数器和时钟电路。
常用的计数器包括74LS90、74LS93、74LS161等,而时钟电路则可以使用555定时器或者晶振电路。
在选择计数器和时钟电路时,需要考虑它们的工作电压、功耗、速度等因素,并根据实际需求进行选择。
设计好计数器和时钟电路后,就可以开始进行倒数计时器的电路设计了。
一般来说,倒数计时器的电路包括时钟电路、计数器、显示器和警报器等部分。
其中,时钟电路用于提供计时脉冲,计数器用于进行计数,显示器用于显示倒计时的时间,而警报器则用于在倒计时结束时发出警报。
在进行倒数计时器的电路设计时,需要注意一些常见的问题。
例如,需要确保时钟电路的稳定性和精度,避免计数器的溢出和重复计数,以及保证警报器的可靠性和响度等。
此外,还需要进行电路仿真和实验验证,以确保倒数计时器的性能和可靠性。
倒数计时器是一个非常有趣和实用的电子计时器,它可以帮助学生深入了解数字电路的原理和设计方法。
在数电课程设计中,倒数计时器是一个非常重要的实验项目,它可以帮助学生提高实验能力和创新能力,同时也可以为他们今后的工作和学习打下坚实的基础。
篮球比赛24秒倒计时电路设计数电课程设计
长沙学院数电课程设计说明书题目篮球比赛24秒倒计时显示电路设计系(部)专业(班级)姓名学号指导教师起止日期数字电子技术课程设计任务书(12)系(部):专业:指导教师:课题名称篮球比赛24秒倒计时显示电路设计设计内容及要求基本任务:1.十进制显示“秒”。
2.具有手动启动和复位功能,能实现连续计时,暂停和报警功能。
扩展任务:1.能将24秒倒计时功能转换成秒表功能。
2.能精确到秒后一位。
设计工作量1、系统整体设计;2、系统设计及仿真;3、在Multisim或同类型电路设计软件中进行仿真并进行演示;4、提交一份完整的课程设计说明书,包括设计原理、仿真分析、调试过程,参考文献、设计总结等。
进度安排起止日期(或时间量)设计内容(或预期目标)备注第一天课题介绍,答疑,收集材料第二天设计方案论证第三天进行具体设计第四天进行具体设计第五天编写设计说明书教研室意见年月日系(部)主管领导意见年月日长沙学院课程设计鉴定表姓名学号专业班级设计题目指导教师指导教师意见:评定等级:教师签名:日期:答辩小组意见:评定等级:答辩小组长签名:日期:教研室意见:教研室主任签名:日期:说明课程设计成绩分“优秀”、“良好”、“及格”、“不及格”四类;目录前言 (4)一、设计任务 (5)二、设计框图 (5)三、设计的主要元器件选择及其构成电路工作原理 (6)1,NE555芯片 (6)2,计时器74LS192芯片 (6)3,控制电路的选择 (7)4,LED、蜂鸣器组成的声光报警电路 (7)四、设计总图及电路仿真 (8)1,总设计图(仿真图) (8)2,设计工作原理 (8)3,仿真结果 (9)五、设计总结 (10)六、心得体会 (11)七、参考文献 (11)前言篮球比赛倒计时24秒电路设计,本设计是脉冲数字电路的简单应用,此计时器功能齐全,可以直接清零、启动、暂停和连续以及具有报警功能,同时应用了七段数码管来显示时间。
此计时器有了启动、暂停和连续功能,可以方便地实现断点计时功能,当计时器递减到零时,会发出报警信号。
数电课程设计十秒倒计时定时器
辽宁师范大学《数字电路》课程设计(09级本科)题目: 定时器1学院:物理与电子技术学院专业:电子信息工程班级:09.3班学号:14级!姓名: 张宁指导教师:赵静邱红张卓2完成日期:2011年10 月27 日一•设计内容及要求10 秒的倒计时定时器,倒计时要求用数码显示,当定时到1秒时,有声音提示,提示声音为0.5秒,当倒计时到0时停止计数二.总体方案设计由设计内容及要求,我设计了一个以NE555构成的多谐振荡电路,来发出一秒间隔的脉冲;用74LS192进行倒计时,通过74LS47连接一个数码显示器;由74LS192发出的高低电平经过逻辑电路变化,连接74LS121来控制蜂鸣器在1秒时响。
三.单元模块设计.1.以NE555构成的多谐振荡器NE555的震荡器在本电路中的周期T=C(R1+R2)=1S 图二冲图三为多谐振荡电路 R1和R2, C 的值确保震荡周期为1 秒,图三的右下角为复位电路,与下一部分一同介绍。
2.倒计时电路图5-1 7 11.SI92的引和扌*列及逻辑符巧<H )引脚扌非列I%1HI l_d I HL L TUT ?1 Qi 口。
CP (> OPuPOOJQO Qijr1一匕 f3 2 P2 P36Q2 7Q3CPu CPnMRTCu TC D1213L_r —Po “就Fil rial pin1011 —14-图四由74LS192的真值表图四可以看出,若想让元件工作在减计数状态MR PL 非,CPu的值必须分别为0,1,1。
由要求可以看出,192的初始必须是九,所以加了一个复位电路,确保初始值是9.计数器输入端P0,1,2,3对应接高低低高电平。
Q0, Q1,Q2,Q3为计数器输出端接到74LS47上。
NE555的3号管脚与74LS192的4浩管脚相连。
一秒发出一个脉冲,74LS192开始倒计时。
4.逻辑电路逻辑电路的作用在于将74LS192输出为一,即 Q3Q2Q1Q0=0001时输给报警电路一个负脉冲。
贾尼别克夫效应原理
贾尼别克夫效应原理贾尼别克夫效应原理,简称J-K触发器(J-K Flip-Flop),是一种数字逻辑电路元件,常用于计算机和电子设备中作为闪存、存储器、倒计时等应用。
J-K触发器是由两个交错的RS触发器组成,其中J表示与输入,K表示非与输入,两个输入信号均为异或输出信号,共同控制触发器的状态。
贾尼别克夫效应原理产生的根本原因在于触发器的输入信号与输出信号的反馈关系。
根据RS触发器的设计,当S输入信号为高电平,R输入信号为低电平时,Q输出信号将为高电平,而不考虑触发器当前的状态。
倘若RS触发器的输出信号再回路到R输入端,当输出信号为高电平时,R输入信号也将为高电平,这将导致Q输出信号一直为高电平,形成“锁定”状态。
为了避免这种情况,J-K触发器被设计出来,当J和K输入信号均为高电平时,则保持原触发器的状态不变;当J为高电平、K为低电平时,则Q输出信号变为高电平;当K为高电平、J为低电平时,则Q输出信号变为低电平;当J和K均为低电平时,则保持原触发器的状态不变。
J-K触发器的功能非常有用,例如在电路中用于计数、比较、状态切换和寄存器等要求。
在ATM机和银行自动清算系统中,也被用于决定帐户余额的状态,并且只有在输入了正确的密码或帐户信息时,才能更改余额状态。
在计算机中,J-K触发器通常被用来实现逐位计算、计数和状态转换等功能。
J-K触发器也常用于时序逻辑电路设计中,例如时钟发生器、计数器、时序计算和定时器等应用。
J-K触发器是数字逻辑电路中最基本的元件之一,其原理简单,功能强大,应用范围广泛。
它不仅是数字电路、计算机和电子设备中不可或缺的部分,也是电子技术领域中的一个重要研究方向。
通过对J-K触发器进行深入细致的研究和探索,不但有助于进一步提高数字电路设计的技术水平,也将推动整个电子技术的发展进步。
除了J-K触发器,数字电路中还有很多其他类型的触发器,例如D触发器、T触发器等,它们都是构成数字逻辑电路和计算机系统的重要组成部分。
数字系统课程设计——五秒倒计时电路
第一章系统概述1.1项目的研究内容及要求1.1.1项目的研究内容:五秒倒计时报警电路1.1.2 项目的研究要求:要求设计一个五秒倒计时提醒电路,具体要求:每次报警灯亮0.5秒,停顿0.5秒。
1.2 项目的研究目的及范围1.2.1 项目的研究目的:实现以上的实验要求,让灯泡亮0.5秒,停顿0.5秒。
1.2.2 项目的研究范围:在EWB环境下用所给的逻辑元件来实现实验要求。
1.3 研究的实用价值和理论意义:随着社会科学技术的迅速发展,人们对报警器的性能提出了越来越高的要求。
传统的报警器通常采用触摸式、开关报警器等。
这类报警器具有性能稳定、实用性强等特点,但是也具有应用范围窄等缺点。
而且安全性能也不是很好。
光电报警就很好的改善了这点。
如今,光电报警器已经广泛应用到工农业生产、自动化仪表、医疗电子设备等领域本实验的设计借助于模拟电路和数字逻辑电路,采用模块化的设计思想,使设计变得简单、方便、灵活性强。
电路简单容易实现,工作稳定,因此得到了广泛的应用。
数字电子技术是当前发展最快的学科之一,数字逻辑器件已从60年代的小规模集成电路(SSI)发展到目前的中、大规模集成电路(MSI、LSI)及超大规模集成电(VLSI)。
相应地,数字逻辑电路的设计方法在不断地演变和发展,由原来的单一的硬件逻辑设计发展成三个分支,即硬件逻辑设计(中、小规模集成器件)、软件逻辑设计(软件组装的LSI和VSI,如微处理器、单片机等)及兼有二者优点的专用集成电路(ASIC)设计。
报警器采用中,小规模集成器件SGZ07,IC555,QM-25,等元器件设计而成.适用于煤气,天然器,汽油等各种烟雾的报警,并且结合了的温控报警器的,可以监控温度的高低,第一时间给工作人员或维修人员予以警告,使其可以根据实际情况给以相应的措施,保障人生财产安全。
第二章 实验原理2.1要解决的问题(1) 用555定时器来提供稳定频率的电信号 (2) 用12进制计数器来实现倒计时计数2.2实验依据和实验设备2.2.1实验依据:74169是12进制可顺序、也可倒序计数,而且巧妙的是它的前三位正好可以实现5秒倒计时,后一位正好可以实现0.5秒的闪烁,0.5秒的停息。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
合肥学院
ED综合设计报告
题目:倒计时数字电子电路
班级:11级电子信息工程(2)班组员:潘凌林、夏雪、籍家兴
指导老师:高先和、姚红
一、实验目的
1、掌握任意时间倒计时器工作原理;
2、学会对原理图进行合理的布线;
3、锻炼对电路板进行焊接的能力;
4、加深对74LS161、08、192等芯片工作原理的了解。
二、实验要求
(1)设计倒计时器的电路图;
(2)选择合适的芯片;
(3)倒计时器具有预置数功能。
三、实验设备
74LS192*3, 74LS08*2, 74LS03, 74LS04,74LS48*2,555,电阻10KR和100R,万能板,5V稳压电源,按键开关,相关焊接工具。
四、实验原理
1.设计思路
我们选用的器材有3个74LS192,它是加、减十进制计数器,选用了555多谐振荡器,它能产生一个1hz的方波信号来作为秒脉冲,作为它的cp脉冲。
又因为我们要选用2片计数器
构成2位计数的状态,固要采用计数器的级联的方法,我们选用的是并行进位的级联,因为这个大大的加快了运行的速度。
因为每个片子需要一个5V的直流电源来驱动,故我们还需要用一个7V的变压器,整流桥与一个三端稳压器来设计一个电源。
因为我们要的是以秒为单位的计数器,所以我们需要的是1hz的cp信号,这个可以由555多谐振荡器来完成。
555多谐振荡器的功能就是你可以调节它的电阻和电容来得到不同频率和不同占空比的脉冲信号。
然后还需要两个74LS48译码器与两个共阴数码管来对计数器所记得数进行翻译和显示。
当倒计时显示进行到03,02,01这三个数字得时候就报警,以蜂鸣器响声来表示;这个可以用一个四线与门来实现,四线与门的四个输入分别为十位计数器的进位信号,多谐振荡器的输出端,个位的高两位经过或非输出端与低两位经过或门输出端。
然后四线与门的后面接蜂鸣器就可以完成报警功能。
系统还能开机复位,包括计数器清零。
2.原理图
五、实验内容
星期二:指导老师对我们需要做的一些实验进行了大致分析和阐述,和对实验时需要注意的事项进行了说明,然后同学开始各自分组和选题,最后由班长统计提交。
星期三、星期四、星期五:分好组后,大家将自己设计的实验需要用的元器件列好清单提交,然后由学校统一购买,由于一些原因耽搁,所以元器件迟迟未到,所以这三天就自己
组从网上了解各芯片功能和焊接技巧。
星期六:大家去实验室领取实验元件,对没有的元件再列好清单由班委去统一购买。
领完元件后就开始正式开始实验,由于时间紧张,所以大家就加班加点的做,一直到晚上十点钟才将万能板焊接的差不多。
星期日:今天的任务就是开始布线,根据原理图的布线方式对万能板进行布线,然后再焊接555的振荡器构成脉冲方波的电路板,最后接入电源检验,调试。
第二天上午做最后的调试,最后由指导老师进行验收。
六、实验总结
电子技术综合课程设计是针对模拟电子技术,数字逻辑电路及电路分析课程的要求,对我们进行综合性实践训练的实践学习环节,它包括选择课程、电子电路设计、组装。
调试和编写总结报告等实践内容。
通过这次实验让我们初步掌握电子线路的试验、设计方法。
学生根据设计要求和芯片参数,查阅文献资料,收集、分析类似电路的性能,并通过组装调试等实践活动,使电路达到性能指标;第二,课程设计为后续的毕业设计打好基础。
毕业设计是系统的工程设计实践,而课程设计的着眼点是让学生开始从理论学习的轨道上逐渐引向实际运用,从已学过的定性分析、定量计算的方法,逐步掌握工程设计的步骤和方法,了解科学实验的程序和实施方法。
第三,培养勤于思考的习惯,同时通过设计并制作电子产类品,增强学生这方面的自信心及兴趣。
第四,通过分组,让我们团队了解团队合作的重要性,也培养了我们团队合作的意识。
虽然两天实验的时间非常短,但是我们每天晚上都加班到十点,大家分工合作,最后我们完成了这次的实验。