《逻辑与计算机设计基础》(原书第五版)课后习题答案-chapter04_solutions-5th
逻辑与计算机设计基础第五版
逻辑与计算机设计基础第五版逻辑与计算机设计是现代计算机科学的基础课程之一,它包含了逻辑学和计算机设计的基本原理与方法。
本文将介绍《逻辑与计算机设计基础第五版》这本教材的内容和意义。
逻辑学是研究思维规律和推理方法的学科,它对于计算机科学的发展具有重要的理论基础作用。
《逻辑与计算机设计基础第五版》从逻辑学的基本概念和原理入手,介绍了命题逻辑、谓词逻辑、命题演算与谓词演算等内容。
通过学习这些内容,读者可以了解逻辑学的基本知识,掌握逻辑推理的方法和技巧,从而提高自己的思维能力和解决问题的能力。
计算机设计是指设计和构造计算机硬件和软件的过程。
《逻辑与计算机设计基础第五版》以计算机硬件设计为主线,介绍了数字电路的基本原理和设计方法。
它从二进制系统、布尔代数和逻辑门开始,逐步讲解了组合逻辑电路和时序逻辑电路的设计与分析。
通过学习这些内容,读者可以了解计算机硬件的工作原理,掌握数字电路的设计和分析方法,从而能够设计和构造简单的计算机硬件系统。
除了逻辑学和计算机设计的基本原理和方法,本书还介绍了一些与计算机科学密切相关的内容,如数据表示与运算、存储器和输入输出设备、指令系统和汇编语言、计算机组成与体系结构等。
这些内容为读者进一步学习和研究计算机科学的相关领域奠定了坚实的基础。
《逻辑与计算机设计基础第五版》在编写过程中遵循了逻辑与计算机设计的基本原理,确保了内容的准确性和严谨性。
本书采用了简洁明了的语言,避免了晦涩难懂的数学公式和计算公式,使读者更好地理解和掌握相关知识。
此外,本书还配有丰富的例题和习题,供读者练习和巩固所学知识。
《逻辑与计算机设计基础第五版》是一本理论与实践相结合的教材,它既介绍了逻辑学和计算机设计的基本原理和方法,又通过实例和习题的方式帮助读者掌握相关技能。
对于计算机科学专业的学生和从事计算机相关工作的人员来说,它是一本必不可少的参考书。
阅读本书可以帮助读者建立起扎实的逻辑思维和计算机设计的基础,为进一步学习和研究计算机科学奠定坚实的基础。
逻辑和计算机设计基础
程序设计语言
选择适合的程序设计语言,以便更高效地实现软件功能。
软件开发流程
遵循标准的软件开发流程,如敏捷开发、瀑布模型等,以确保软 件质量和开发效率。
人工智能设计
数据驱动模型
利用大量数据进行模型训练,提高人工智能的准确性和可靠性。
算法优化
能正确性。
数字系统设计
数字系统架构设计
根据系统需求,设计出合理的数字系统架构。
微处理器与微控制器
了解微处理器和微控制器的原理、结构、指 令集以及应用。
嵌入式系统设计
掌握嵌入式系统的基本概念、组成、设计流 程以及应用。
系统级编程语言
掌握C、C、汇编等系统级编程语言,能够 进行系统级编程。
数字信号处理
时序逻辑电路
除了逻辑门外,还包含存储 元件,如触发器,用于存储 状态信息。
数字电路
由逻辑门和存储元件组成的 电路,用于执行算术运算和 逻辑运算。
02 计算机设计基础
计算机组成
中央处理器(CPU)
负责执行计算机程序中的指令,控制 计算机的各个部分协调工作。
存储器(Memory)
用于存储数据和程序,包括随机存取 存储器(RAM)和只读存储器 (ROM)。
输入输出设备(I/O)
用于输入和输出数据,如键盘、鼠标、 显示器等。
操作系统(OS)
控制计算机硬件和软件资源,为用户 提供良好的操作界面。
计算机架构
冯·诺依曼架构
01
由五个部分组成,包括运算器、控制器、存储器、输
入设备和输出设备,是现代计算机的基本架构。
RISC和CISC架构
02 RISC架构强调精简指令集,提高指令执行速度;
《逻辑与计算机设计基础》(原书第五版)课后习题答案-chapter01_solutions-5th
1CHAPTER 1© 2016 Pearson Education, Inc.1-1.(a)(1) Calm:(2) 10 mph(3) 100 mphor(b) The microcomputer requires a table or equation for converting from rotations/second to miles/hour. The pulses produced by the rotating disk must be counted over a known period of time, and the table or equation used to convert the binary count to miles per hour.1-2.–34° quantizes to –30° => 1 V => 0001+31° quantizes to +30° => 7 V => 0111 +77° quantizes to +80° => 12 V => 1100 +108° quantizes to +110° => 15 V => 11111-3.*Decimal, Binary, Octal and Hexadecimal Numbers from (16)to (31)1-4.1020301281282131,0723232233,554,4328828,589,934,592=⨯==⨯==⨯=K Bits M Bits G Bits21-5.220 = (1,000,00010 + d ) where d = 48,576 1Tb = 240 = (220)2 = (1,000,000 + d )2= (1,000,000)2 + 2(1,000,000) d + d 2 = 1,000,000,000,000 + 97,152,000,000 + 2,359,627,776 = 1,099,511,627,7761-6.112511212047252133,554,4311 Bits 1 Bits ⇒-=⇒-=1-7.*63202641013275321142(1001101)222277(1010011.101)22222283.625(10101110.1001)2222222174.5625----=+++==+++++==++++++=1-8.1 1 0 0 0 0 0 0 0 0 0 1 0 11-9.*1-10.*a)0.45 × 8 = 3.6 =>0.60 × 8 = 4.8 =>0.80 × 8 = 6.4 =>0.20 × 8 = 3.2 =>10= (16612.3463)8b) (1938.257)10 = (792.41CB)16c) (175.175)10 = (10101111.001011)21-11.*a) (673.6)8= (110 111 011.110)2= (1BB.C)16b) (E7C.B)16= (1110 0111 1100.1011)2= (7174.54)8c) (310.2)4= (11 01 00.10)2= (64.4)81-12.a) 1010 b) 0110 c) 1111001×1100 ×1001 ×0111010000 0110 11110010000 0000 0000001010 0000 11110011010 0110 11110011111000 0110110 11110010000000110110110101341-13.+1000110110101101010000000010000110001101011-----Quotient = 10001R emainder = 1 1-14.(a) 6 × 123 + 8 × 122 + 7 × 121 + 4 = 11608 (b)12 1-15.a)0 1 2 3 4 5 6 7 8 9 A BCDEFGHIJb) 20c) 21012010(.)1120122018201620(4658.8)BCI G -=⨯+⨯+⨯+⨯=1-16.*a) (BEE)r = (2699)1021021114142699111426850r r r r r ⨯+⨯+⨯=⨯+⨯-=By the quadratic equation: r = 15 or ≈ –16.27 ANSWER: r = 15 b) (365)r = (194)102102365194361890r r r r r ⨯+⨯+⨯=⨯+⨯-=By the quadratic equation: r = – 9 or 7 ANSWER: r = 751-17.Errata: The text has an error: 1480 should be 1460. This will be corrected in future printings.Noting the order of operations, first add (34)r and (24)r101010(34)34(24)24(34)(24)58r r r r r r r r r r =⨯+⨯=⨯+⨯+=⨯+⨯Now, multiply the result by (21)r101021(21)(58)10218⨯+⨯⨯⨯+⨯=⨯+⨯+r r r r r r Next, set the result equal to (1480)r and reorganize.21321321010218146161580⨯+⨯+=⨯+⨯+⨯⨯-⨯-⨯-⨯=r r r r r r r r r Finally, find the roots of this cubic polynomial. Solutions are: r = 8, – 1, – 1ANSWER: The chicken has 4 toes on each foot (half of 8).1-18.*a) (0100 1000 0110 0111)BCD = (4867)10= (1001100000011)2 b) (0011 0111 1000.0111 0101)BCD= (378.75)10=(101111010.11)21-19.*(694)10 = (0110 1001 0100)BCD (835)10=(1000 0011 0101)BCD1001 0100 +0011 +0101 1100 1001 +0110 +00001 001010011-20.*(a)101 1000111 1000Move R 011 1100 0 100 column > 0111Subtract 3 −0011011 1001 0Subtract 3 −001101 1001Move R 0 1100 110 100 column > 0111Subtract 3 −00110 1001 110Move R 0100 1110Move R 010 01110Move R 01 001110Move R 0 1001110 Leftmost 1 in BCD numbershifted out: Finished(b) 102101 1000011 1001 0111Move R 001 1100 1011 1 101 and 100 columns > 0111Subtract 3 −0011 -0011001 1001 1000 1Move R 00 1100 1100 01 101 and 100 columns > 0111Subtract 3 −0011 −001100 1001 1001 01Move R 0 0100 1100 101 100 column > 0111Subtract 3 −00110 0100 1001Move R 0010 0100 1101Move R 001 0010 01101Move R 00 1001 001101 100 column > 0111Subtract 3 −001100 0110 001101Move R 0 0011 0001101Move R 0001 10001101Move R 000 110001101 Leftmost 1 in BCDnumber shifted out: Finished 1-21.(a) 10210110011110001st Move L 1 1110002nd Move L 11 110003rd Move L 111 1000 100 column > 100Add 3 00111010 10004th Move L 1 0101 000 100 column > 100Add 3 00111 1000 0005th Move L 11 0000 006th Move L 110 00000 101 column > 100Add 3 00111001 0000 07th Move L 1 0001 00000 Least significant bit in binary number moved in:Finished(b) 103102101100011100101111st Move L 0 11100101112nd Move L 01 1100101113rd Move L 011 100101114th Move L 0111 0010111 100 column > 100Add 3 001161010 00101115th Move L 1 0100 0101116th Move L 10 1000 10111 100 column > 100Add 3 001110 1011 101117th Move L 101 0111 0111 101 & 100 columns > 100Add 3 0011 00111000 1010 01118th Move L 1 0001 0100 1119th Move L 10 0010 1001 11 100 column > 100Add 3 001110 0010 1100 1110th Move L 100 0101 1001 1 101 &100 columns > 100Add 3 0011 0011100 1000 1100 111th Move L 1001 0001 1001 Least significant bit in binary number moved in: Finished1-22.From Table 1-5, complementing the bit B6 will switch an uppercase letter to a lower case letter and vice versa.1-23.a) The name used is Brent M. Ledvina. An alternative answer: use both upper and lower case letters.0100 0010 B 0101 0010 R 0100 0101 E0100 1110 N 0101 0100 T 0010 0000 (SP)0100 1101 M 0010 1110 . 0010 0000 (SP)0100 1100 L 0100 0101 E 0100 0100 D0101 0110 V 0100 1001 I 0100 1110 N0100 0001 Ab) 0100 0010 1101 0010 1100 01010100 1110 1101 0100 1010 00000100 1101 0010 1110 1010 00001100 1100 1100 0101 0100 01000101 0110 1100 1001 0100 11100100 00011-24.1000111 G1101111 o01000001000011 C1100001 a1110010 r1100100 d1101001 i1101110 n1100001 a (Errata: This number appears as 110001, which would be “1”)1101100 l1110011 s0100001 !781-25.*a) (11111111)2b) (0010 0101 0101)BCD c) 011 0010 011 0101 011 0101ASCIId)0011 00101011 01011011 0101ASCII with Odd Parity1-26.a) U+0040 = 01000000b) U+00A2 = 11000010 10100010c) U+20AC = 11100010 10000010 10101100d)U+1F6B2 = 11110000 10011111 10011010 101100101-27.Binary Numbers from (32)to (47) with Odd and Even Parity1-28.Gray Code for Hexadecimal Digits1-29.(a) Wind Direction Gray CodeDirectionCode WordN 000 S 110 E 011 W 101 NW 100 NE 001 SW 111 SE0109(b) Wind Direction Gray Code (directions in adjacent order)DirectionCode WordN 000 NE 001 E 011 SE 010 S 110 SW 111 W 101 NW100As the wind direction changes, the codes change in the order of the rows of this table, as suming that the bottom row is “next to” the top row. From the table, the codes that result due to a wind direction change always change in a single bit.1-30.+The percentage of power consumed by the Gray code counter compared to a binary code counter equals:Number of bit changes using Gray code Number of bit changes using binary codeAs shown in Table 1-6, and by definition, the number of bit changes per cycle of an n-bit Gray code counter is 1 per count = 2n .Number of bit changes using Gray code = 2nFor a binary counter, notice that the least significant bit changes on every increment. The second least significant bit changes on every other increment. The third digit changes on every fourth increment of the counter, and so on. As shown in Table 1-6, the most significant digit changes twice per cycle of the binary counter.Number of bit changes using binary code 11222n n -+++(1)110221(21)122nni i n n i i ++==⎡⎤==-=--=-⎢⎥⎣⎦∑∑ % Power (1)210022n n +=⨯-。
计算机网络第五版第四章部分答案
4、01网络层向上提供虚电路服务和数据报服务。
优缺点:通信线路故障对虚电路服务影响较大,数据报服务可以通过调整路由来避免;虚电路易避免拥塞,数据报服务则很困难;虚电路创建连接有时间开销,传送小量分组有点浪费,数据报服务对每个分组都有分析时间的开销。
4、04 IP:网际协议,使互联起来的许多计算机网络能够互相通信。
ARP:地址转换协议,将IP地址转换成物理地址。
RARP:反向地址转换协议,将物理地址转换成IP地址。
ICMP:internet控制消息协议,减少分组的丢失。
4、05分为ABCDE五类A类地址的网络标识由第一组八位二进制数表示,主机标识由三组八位二进制数组成,网络标识第一位二进制数必须为零。
B类网络地址的网络标识由前两组八位二进制数表示,主机标识有两组二进制数表示,网络标识前两位二进制数必须为10。
C类地址的网络标识由前三组八位二进制数表示,主机标识由一组八位二进制数表示,网络标识的前三位必须为110。
D类地址前四位是1110,用于多播(一对多通信)。
E类地址前四位是1111,保留为以后用。
特点:每一个IP地址都由网络号和主机号两部分组成;IP地址标志一个主机(或路由器)和一条链路的接口;一个网络是指具有相同网络号net-id的主机的集合用网桥或转发器连接起来的若干局域网仍为一个网络,具有不同网络号的局域网必须使用路由器进行互联;在IP地址中,所有分配到网络号的网络都是平等的。
4、06 区别:IP地址在IP数据报的首部,硬件地址在MAC帧的首部;在网络层以上使用IP地址,在链路层以下使用硬件地址。
因为在网际层,我们只看到IP数据报,路由器根据目的站的IP地址选择路由。
在链路层,我们只看到MAC帧,IP数据包封装在MAC帧里面,在不同网络传送时,MAC首部是不同的。
每个路由器都有IP地址和硬件地址,尽管网络硬件体系不同,但IP层抽象的互联网可以使用统一的IP地址通信。
4、07 (1)表示C类地址默认子网掩码或者A和B类地址的子网掩码。
《逻辑与计算机设计基础》(原书第五版)课后习题答案-chapter03_solutions-5th
Z X1 X 2 X3
X1 X2 X3 Z 0000 0011 0101 0110 1001 1010 1100 1111
3-7.+
ABCD 0000 0001 0011 0010 0110 0111 0101 0100 1100 1101 1111 1110 1010 1011 1001 1000
S0 BCD BCD AB ACD ABCD S1 AB AB ACD BCD S2 ABC ABD
A B C DWX Y Z
00000011
00010100
00100101
00110110
01000111
01011000
01101001
01111010
10001011
10011100
b) W = X1(X2 X3 X4 + X5 X9 X13 + X6 X11 X15) + X7(X5 X6 X8 + X3 X11 X15 + X4 X10 X13) + X9 X10 X 11 X12 + X13 X14 X15 X16 + X2 X6 X10 X14 + X4 X8 X12 X16 Gate Inpu11
F =FX=Z X+ZXY+ +XYYZ+ YZ
TfohriTcsthhaieisrsrftyiuhsletflhsoaaedrmdstaehemref.uefnufcultlinoacndt.iadosentrhaes tchaerry
Z
C
《逻辑与计算机设计基础》(原书第五版)课后习题答案-chapter05_solutions-5th
+V
+V
A
A
B
B
C
C
F
F
b) 4-ibn)p4u-tinNpOutRNbO)ga4Rt-eignpautet NO++RVVgate +V
A
A
B
B
C
C
D
D
F
F
5-3.
1
5-4.
a)
Problem Solutions – Chapter 5
A(7:0)
DECODER
A8 A0
0
A9 A1
1
2
3
256 x 8 ROM
Z1
X
Z
X
1 Z1
111110001
D =ZYZ
EZ= 0
F =Z Z
Implementation of A, D, and E requireDsD=onYlYyZZtwo terms, XYZ. aSntdraEYEigh=t0f0orward implementatFioF=nZoZf B, C, and F Irmeqpuleirmesenftoautriotneromf sA,,,XDXYY,Za,nXdYZE, arnedquZi.rBeys iomnplylemtweonttienrgmsB, ,XCYZ,.aanSndtdrFa,Yigohntlfyortwhraered aimddpilteiomneanl ttaetrimons of B, C, and F rXeq, uXirYe,safnoduZr aterermresq, ,uXXiYreYZd,. XSYoZ,waendf oZr.mBytihmepsleomluetniotinngusinBg, Cf i,vaendpFr,odonulcyt tt,herrYemZes,a:XdXYdY,itXio,naanldteZr.mTshe solution ImXips,leXdmeYes,ncatranitbdioeZndoabfryeA,trheDeq,uaeinrqedudEa.triSoeoqnuswirgeesivfooenrnlmywttwithhoetsehroemlust,iixXonYK-uamsnidanpgYsZf.i.vSetrpairgohdtufocrtwta,errYdmZims, :pXlXeYYm, eXn,taatniodnZo.fTBh,eCs, oanludtiFon
《逻辑与计算机设计基础》(原书第五版)课后习题答案-chapter02_solutions-5th
01
0
0
01
1
1
10
0
0
10
1
0
0
0
0
0
0
1
0
1
0
1
1
1
1
1
1
1
1
1
11
0
0
1
1
1
11
1
1
1
1
1
c) XY YZ XZ XY YZ XZ
X Y Z XY Y Z XZ XY YZ XZ XY YZ
0 0 0 1 1 1 1 1
XZ XY YZ XZ
000 0 0 0
1 X Y Z X Y XZ YZ
a) ABC BCD BC CD
=
B CD
ABC ABC BC BCD BCD CD AB(C C) BC(D D) BC CD AB BC BC CD B AB CD B CD
b) WY WYZ WXZ WXY
c) AD AB CD BC
=
(A B C D)(A B C D)
AD AB CD BC ( A D)(A B)(C D)(B C) ( AB AD BD)(BC BD CD) ABCD ABCD ( A B C D)(A B C D) (A B C D)(A B C D)
e) For any element A, A is defined such that for all i, i = 0, ... ,3, Ai equals the NOT1 of Ai.
a) AC ABC BC AC ABC (ABC BC) AC (ABC ABC BC (AC AC) BC A BC
《大学计算机基础》第五版_第1-4章课后习题答案
《大学计算机基础》第五版_第1-4章课后习题答案1.简述计算机系统的组成。
由硬件系统和软件系统组成2.计算机硬件包括那几个部分?分别说明各部分的作用。
a)主机和外设b)主机包括中央处理器和内存作用分别是指挥计算机的各部件按照指令的功能要求协调工作和存放预执行的程序和数据。
外设包括输入输出设备和外存,作用是接受用户输入的原始数据和程序并将它们转化为计算机可以识别的形式存放在内存中,将存放在内存中由计算机处理的结果转变为人们所能接受的形式。
3.指令和程序有什么区别?试述计算机执行指令的过程。
a)指令是能被计算机识别并执行的二进制代码,程序是计算机指令的有序集合。
b)取指令,分析指令,执行指令。
4.指令的串行执行和并行执行有什么区别?串行执行即在任何时刻只能执行一条指令,并行执行可以执行多条指令。
5.什么是流水线技术?在程序执行时多条指令重叠进行操作的一种准并行处理技术。
6.简述系统软件和应用软件的区别。
系统软件是指控制计算机的运行、管理计算机的各种资源、并为应用软件提供支持和服务。
应用软件是利用计算机的软、硬件资源为某一专门目的而开发的软件。
7.简述机器语言、汇编语言、高级语言各自的特点。
机器语言编程工作量大、难学难记难修改;汇编语言编程质量高,站存储空间少、执行速度快;高级语言接近自然语言和数学公式。
8.简述解释和编译的区别。
解释的翻译工作可立即执行,而编译的翻译工作不可立即装入机器执行。
9.简述将资源程序编译成可执行程序的过程。
首先产生一个与资源程序等价的目标程序,然后连接程序将目标程序和有关的程序库组合成一个完整的可执行程序。
10.简述常用各种高级语言的特点。
FORTRAN:用于科学计算。
COBOL:面向商业的通用语言。
C/C++:功能丰富,灵活,简洁明了。
BASIC:非结构化,功能少,速度慢。
JAVA:严谨可靠易懂。
11.什么是主板?它主要有哪些部件?各部件是如何连接的?a)主板(母版)是微型计算机中最大的一块集成电路板,也是其他部件和各种外部设备的连接载体。
自考计算机基础与程序设计书后练习答案前5章04
自考计算机基础与程序设计书后练习答案前5章04习题 4(第四章条件语句与选择结构程序设计)(参考答案)一、问答题1. 在C语言的if语句中,用作条件判断的“表达式”可以是什么类型的表达式?什么代表逻辑值“真”?什么代表逻辑值“假”?答:在C语言的if语句中,用作条件判断的“表达式”可以是能求值的各种类型的表达式,其中最常用的是关系或逻辑表达式。
非0代表逻辑值“真”?0代表逻辑值“假”。
2. switch语句后的一对圆括号中的表达式,允许是什么类型?答:switch语句后的一对圆括号中的表达式,允许是任何类型,在与常量表达式比较时被自动取整。
二、填空题1.下面程序的功能是:判断输入的一个整数是否能被3或7整除,若能整除则输出“YES”,若不能整除,则输出“NO”。
main(){int k;printf(“Enter an integer:”);scanf(“%d”,&k);if (k%3==0 || k%7 ==0)printf(“YES\n”);elseprintf(“NO\n”);}2.以下程序为输入一个学生的生日(年:y0、月:m0、日:d0),并输入当前日期(年:y1、月:m1、日:d1),求出该学生的实足年龄。
main(){int age,y0,m0,d0,y1,m1,d1;printf(“输入生日日期(年月日)”);scanf(“%d%d%d”,&y0,&m0,&d0);printf(“输入当前日期(年月日)”);scanf(“%d%d%d”,&y1,&m1,&d1);age = y1 – y0;if(m0 > m1) age--;if(m0 == m1 && d0 > d1) age --;printf(“age = %3d”,age);}三、程序分析1.试问当下面(1)、(2)两程序运行时,如果从键盘输入2 ,则运行结果分别是什么?(1)#includevoid main(){float x,y;scanf("%f ",&x);if(x<0.0) y = 0.0;else if((x<5.0)&&(x!=2.0))y = 1.0/(x+2.0);else if(x<10.0)y = 1.0/x;elsey = 10.0;printf("%f\n",y);}0.500000(2)main(){int x,a=0,b=0;scanf("%d",&x);switch(x){case 1: a++;b++;case 2: ++a;++b;case 3: a++;b++;case 4: a += b; b+=a;}printf("a=%d ,b=%d\n",a,b);getch();}2a=4 ,b=62.对于以下程序,当从第一列开始输入字符‘g’时,其运行结果是什么?若输入‘a’呢?输入‘M’呢?输入‘Z’呢?#includemain(){char ch,c1,c2;printf("Enter a character:");ch = getchar();if((ch>='a')&&(ch<='z'))ch -= 32;c1 = ch - 1;c2 = ch + 1;if(ch=='A')c1 = ch + 25;else if(ch == 'Z')c2 = ch - 25;putchar(c1);putchar(ch);putchar(c2);putchar('\n');getch();}gFGHAZABMLMNZYZA四、编写程序1.有一函数 ??<=>-=)0()0()0(101x x x y 编程输入一个x 值,输出相应的y 值。
《逻辑与计算机设计基础》(原书第五版)课后习题答案-chapter01_solutions-5th
1CHAPTER 1© 2016 Pearson Education, Inc.1-1.(a)(1) Calm:(2) 10 mph(3) 100 mphor(b) The microcomputer requires a table or equation for converting from rotations/second to miles/hour. The pulses produced by the rotating disk must be counted over a known period of time, and the table or equation used to convert the binary count to miles per hour.1-2.–34° quantizes to –30° => 1 V => 0001+31° quantizes to +30° => 7 V => 0111 +77° quantizes to +80° => 12 V => 1100 +108° quantizes to +110° => 15 V => 11111-3.*Decimal, Binary, Octal and Hexadecimal Numbers from (16)to (31)1-4.1020301281282131,0723232233,554,4328828,589,934,592=⨯==⨯==⨯=K Bits M Bits G Bits21-5.220 = (1,000,00010 + d ) where d = 48,576 1Tb = 240 = (220)2 = (1,000,000 + d )2= (1,000,000)2 + 2(1,000,000) d + d 2 = 1,000,000,000,000 + 97,152,000,000 + 2,359,627,776 = 1,099,511,627,7761-6.112511212047252133,554,4311 Bits 1 Bits ⇒-=⇒-=1-7.*63202641013275321142(1001101)222277(1010011.101)22222283.625(10101110.1001)2222222174.5625----=+++==+++++==++++++=1-8.1 1 0 0 0 0 0 0 0 0 0 1 0 11-9.*1-10.*a)0.45 × 8 = 3.6 =>0.60 × 8 = 4.8 =>0.80 × 8 = 6.4 =>0.20 × 8 = 3.2 =>10= (16612.3463)8b) (1938.257)10 = (792.41CB)16c) (175.175)10 = (10101111.001011)21-11.*a) (673.6)8= (110 111 011.110)2= (1BB.C)16b) (E7C.B)16= (1110 0111 1100.1011)2= (7174.54)8c) (310.2)4= (11 01 00.10)2= (64.4)81-12.a) 1010 b) 0110 c) 1111001×1100 ×1001 ×0111010000 0110 11110010000 0000 0000001010 0000 11110011010 0110 11110011111000 0110110 11110010000000110110110101341-13.+1000110110101101010000000010000110001101011-----Quotient = 10001R emainder = 1 1-14.(a) 6 × 123 + 8 × 122 + 7 × 121 + 4 = 11608 (b)12 1-15.a)0 1 2 3 4 5 6 7 8 9 A BCDEFGHIJb) 20c) 21012010(.)1120122018201620(4658.8)BCI G -=⨯+⨯+⨯+⨯=1-16.*a) (BEE)r = (2699)1021021114142699111426850r r r r r ⨯+⨯+⨯=⨯+⨯-=By the quadratic equation: r = 15 or ≈ –16.27 ANSWER: r = 15 b) (365)r = (194)102102365194361890r r r r r ⨯+⨯+⨯=⨯+⨯-=By the quadratic equation: r = – 9 or 7 ANSWER: r = 751-17.Errata: The text has an error: 1480 should be 1460. This will be corrected in future printings.Noting the order of operations, first add (34)r and (24)r101010(34)34(24)24(34)(24)58r r r r r r r r r r =⨯+⨯=⨯+⨯+=⨯+⨯Now, multiply the result by (21)r101021(21)(58)10218⨯+⨯⨯⨯+⨯=⨯+⨯+r r r r r r Next, set the result equal to (1480)r and reorganize.21321321010218146161580⨯+⨯+=⨯+⨯+⨯⨯-⨯-⨯-⨯=r r r r r r r r r Finally, find the roots of this cubic polynomial. Solutions are: r = 8, – 1, – 1ANSWER: The chicken has 4 toes on each foot (half of 8).1-18.*a) (0100 1000 0110 0111)BCD = (4867)10= (1001100000011)2 b) (0011 0111 1000.0111 0101)BCD= (378.75)10=(101111010.11)21-19.*(694)10 = (0110 1001 0100)BCD (835)10=(1000 0011 0101)BCD1001 0100 +0011 +0101 1100 1001 +0110 +00001 001010011-20.*(a)101 1000111 1000Move R 011 1100 0 100 column > 0111Subtract 3 −0011011 1001 0Subtract 3 −001101 1001Move R 0 1100 110 100 column > 0111Subtract 3 −00110 1001 110Move R 0100 1110Move R 010 01110Move R 01 001110Move R 0 1001110 Leftmost 1 in BCD numbershifted out: Finished(b) 102101 1000011 1001 0111Move R 001 1100 1011 1 101 and 100 columns > 0111Subtract 3 −0011 -0011001 1001 1000 1Move R 00 1100 1100 01 101 and 100 columns > 0111Subtract 3 −0011 −001100 1001 1001 01Move R 0 0100 1100 101 100 column > 0111Subtract 3 −00110 0100 1001Move R 0010 0100 1101Move R 001 0010 01101Move R 00 1001 001101 100 column > 0111Subtract 3 −001100 0110 001101Move R 0 0011 0001101Move R 0001 10001101Move R 000 110001101 Leftmost 1 in BCDnumber shifted out: Finished 1-21.(a) 10210110011110001st Move L 1 1110002nd Move L 11 110003rd Move L 111 1000 100 column > 100Add 3 00111010 10004th Move L 1 0101 000 100 column > 100Add 3 00111 1000 0005th Move L 11 0000 006th Move L 110 00000 101 column > 100Add 3 00111001 0000 07th Move L 1 0001 00000 Least significant bit in binary number moved in:Finished(b) 103102101100011100101111st Move L 0 11100101112nd Move L 01 1100101113rd Move L 011 100101114th Move L 0111 0010111 100 column > 100Add 3 001161010 00101115th Move L 1 0100 0101116th Move L 10 1000 10111 100 column > 100Add 3 001110 1011 101117th Move L 101 0111 0111 101 & 100 columns > 100Add 3 0011 00111000 1010 01118th Move L 1 0001 0100 1119th Move L 10 0010 1001 11 100 column > 100Add 3 001110 0010 1100 1110th Move L 100 0101 1001 1 101 &100 columns > 100Add 3 0011 0011100 1000 1100 111th Move L 1001 0001 1001 Least significant bit in binary number moved in: Finished1-22.From Table 1-5, complementing the bit B6 will switch an uppercase letter to a lower case letter and vice versa.1-23.a) The name used is Brent M. Ledvina. An alternative answer: use both upper and lower case letters.0100 0010 B 0101 0010 R 0100 0101 E0100 1110 N 0101 0100 T 0010 0000 (SP)0100 1101 M 0010 1110 . 0010 0000 (SP)0100 1100 L 0100 0101 E 0100 0100 D0101 0110 V 0100 1001 I 0100 1110 N0100 0001 Ab) 0100 0010 1101 0010 1100 01010100 1110 1101 0100 1010 00000100 1101 0010 1110 1010 00001100 1100 1100 0101 0100 01000101 0110 1100 1001 0100 11100100 00011-24.1000111 G1101111 o01000001000011 C1100001 a1110010 r1100100 d1101001 i1101110 n1100001 a (Errata: This number appears as 110001, which would be “1”)1101100 l1110011 s0100001 !781-25.*a) (11111111)2b) (0010 0101 0101)BCD c) 011 0010 011 0101 011 0101ASCIId)0011 00101011 01011011 0101ASCII with Odd Parity1-26.a) U+0040 = 01000000b) U+00A2 = 11000010 10100010c) U+20AC = 11100010 10000010 10101100d)U+1F6B2 = 11110000 10011111 10011010 101100101-27.Binary Numbers from (32)to (47) with Odd and Even Parity1-28.Gray Code for Hexadecimal Digits1-29.(a) Wind Direction Gray CodeDirectionCode WordN 000 S 110 E 011 W 101 NW 100 NE 001 SW 111 SE0109(b) Wind Direction Gray Code (directions in adjacent order)DirectionCode WordN 000 NE 001 E 011 SE 010 S 110 SW 111 W 101 NW100As the wind direction changes, the codes change in the order of the rows of this table, as suming that the bottom row is “next to” the top row. From the table, the codes that result due to a wind direction change always change in a single bit.1-30.+The percentage of power consumed by the Gray code counter compared to a binary code counter equals:Number of bit changes using Gray code Number of bit changes using binary codeAs shown in Table 1-6, and by definition, the number of bit changes per cycle of an n-bit Gray code counter is 1 per count = 2n .Number of bit changes using Gray code = 2nFor a binary counter, notice that the least significant bit changes on every increment. The second least significant bit changes on every other increment. The third digit changes on every fourth increment of the counter, and so on. As shown in Table 1-6, the most significant digit changes twice per cycle of the binary counter.Number of bit changes using binary code 11222n n -+++(1)110221(21)122nni i n n i i ++==⎡⎤==-=--=-⎢⎥⎣⎦∑∑ % Power (1)210022n n +=⨯-。
逻辑与计算机设计基础课后答案及分析和实验
第三章布置习题参考解
3-1设计一个电路实现下面一对布尔方程:
3-2使用对应于下列函数的层次化组件,
H=XY+XZ
和一些非门来实现下面的等式:
G=ABC+ABD+ABC+ABD
利用Shannon扩展定理可以得到整个电路
F=XF0(X)+XF1(X)
在函数H中令Y=F0,Z=F1就可以得到扩展后的F。
在每一个F0和F1中使都用一个变量,就可以将扩展定理应用于每一个F0和F1。
如果一个变量既以原变量又以反变量的形式出现,则优先取该变量。
重复此过程,直到所有的Fi都只是单因子项或常量项为止。
对于函数G。
令X=A,求出G0和G1,然后对G0和G1,令X=B。
画出G的顶层逻辑图,其中,把H作为它的一个层次化组件使用。
3-3
3-5
3-6
c) 所有情况下两个值都是一样的。
3-11
3-15
(a)
(b)
3-24
题目中的函数F和G用替换,可参考F4-10的译码器设计。
3-27。
《大学计算机基础》第五版-第1-4章课后习题答案
第一章1.电脑的发展经历了那几个阶段?各阶段的主要特征是什么?a)四个阶段:电子管电脑阶段;晶体管电路电子电脑阶段;集成电路电脑阶段;大规模集成电路电子电脑阶段。
b)主要特征:电子管电脑阶段:采用电子管作为电脑的逻辑元件;数据表示主要是定点数;用机器语言或汇编语言编写程序。
晶体管电路电子电脑阶段:采用晶体管作为电脑的逻辑元件,内存大都使用铁金氧磁性材料制成的磁芯存储器。
集成电路电脑阶段:逻辑元件采用小规模集成电路和中规模集成电路。
大规模集成电路电子电脑阶段:逻辑元件采用大规模集成电路和超大规模集成电路。
2.按综合性能指标分类,电脑一般分为哪几类?请列出各电脑的代表机型。
高性能电脑〔曙光〕,微型机〔台式机算机〕,工作站〔DN-100〕,服务器〔Web服务器〕。
3.信息与数据的区别是什么?信息:对各种事物的变化和特征的反映,又是事物之间相互作用和联系表征。
数据:是信息的载体。
4.什么是信息技术?一般是指一系列与电脑等相关的技术。
5.为什么说微电子技术是整个信息技术的基础?晶体管是集成电路技术发展的基础,而微电子技术就是建立在以集成电路为核心的各种半导体器件基础上的高新电子技术。
6.信息处理技术具体包括哪些内容?3C含义是什么?a)对获取的信息进行识别、转换、加工,使信息安全地存储、传送,并能方便的检索、再生、利用,或便于人们从中提炼知识、发现规律的工作手段。
b)信息技术、电脑技术和控制技术的总称7.试述当代电脑的主要应用。
应用于科学计算、数据处理、电子商务、过程控制、电脑辅助设计、电脑辅助制造、电脑集成制造系统、多媒体技术和人工智能等。
第二章1.简述电脑系统的组成。
由硬件系统和软件系统组成2.电脑硬件包括那几个部分?分别说明各部分的作用。
a)主机和外设b)主机包括中央处理器和内存作用分别是指挥电脑的各部件按照指令的功能要求协调工作和存放预执行的程序和数据。
外设包括输入输出设备和外存,作用是接受用户输入的原始数据和程序并将它们转化为电脑可以识别的形式存放在内存中,将存放在内存中由电脑处理的结果转变为人们所能接受的形式。
逻辑与计算机设计基础课后答案及分析和实验
第二章布置习题参考解
2-1 用真值表验证XYZ=X+Y+Z 三变量DeMorgan定律
2-2 用代数化简来证明下列布尔方程的性质
a)
c)
2-3 用代数化简来证明下列布尔方程的性质
2-6 化简下列布尔表达式,使表达式中包含的因子最少
2-10
a)
c)
2-11
2-12
2-13
2-14
2-15
2-16
2-19
2-24
(a) 用两个三态缓冲器和一个非门实现函数H=XY+XZ。
(b) 用两个缓冲器和两个非门互联实现异或门。
2-33
(a) 把三个三态缓冲器的输出连在一起,增加一些逻辑(门)实现函数F=ABC+ABD+ABD C、D以及D是三态缓冲器的输入,A、B通过逻辑电路产生使能输入。
(b) 对于(a) 中设计的三态缓冲器的输出是不是没有冲突?如果不是,更改必要的设计,使设计的电路没有冲突。
上述设计没有三态输出冲突。
逻辑与计算机设计基础第五版课后答案chapter06
逻辑与计算机设计基础第五版课后答案Chapter066.1 填空题1.逻辑电路中,5个逻辑门包括与门、或门、非门、与非门和或非门。
2.组合逻辑电路是由逻辑门组成的。
3.子电路是由节点和逻辑门组成的。
4.逻辑门的输入端数称为其阶数。
5.卡诺图的横纵坐标是逻辑变量。
6.卡诺图上的每个矩形对应一个最小项。
7.复杂逻辑函数可以用几个较小的逻辑单元构成。
8.门电路可不断扩展到更复杂的逻辑电路。
9.简化布尔函数是优化逻辑电路的一种方法。
10.简化布尔函数可以减少电路中的逻辑门数量。
6.2 选择题1.LogicWorks软件包含设计逻辑电路所需的全部功能,以下哪个是LogicWorks的主要特点?A. 用户界面简洁易用,适合初学者使用。
B. 提供了强大的绘图工具和仿真工具。
C. 方便学生理解和掌握基本逻辑电路的设计方法。
D. 以上三项都是。
答案:D2.LogicWorks 是一个集成功能强大的绘图工具和仿真工具于一身的逻辑设计软件,它具有如下特点,除了__B__之外全部是()。
A. 用户界面简介易用,适合初学者使用。
B. 它可以为学生编写和作业设计电路图。
C. 提供由身强大的仿真工具。
D. 方便学生理解和掌握基本逻辑电路的设计方法。
答案:B3.在 LogicWorks 软件中,可以通过输入“ EN ” 来实现一个与逻辑电路的启停。
假设“ EN ” 通入值为高电位则电路启动,“ EN ” 通入值为低电位则电路停止。
A. 对B. 错答案:A4.LogicWorks 中连接点上的箭头表示其状态,仅当断头朝上是高电平表示 1,断头朝下是低电平表示 0。
A. 对B. 错答案:B5.以下哪一项是LogicWorks软件中可以直接编辑数字逻辑电路的操作?A. 点击元件图形中每个连接点上方那一根看不见的电线就可以显示与进一步编辑节点的名称。
B. 在每个连接点上方那根看不见的线上点击鼠标右键可以弹出含有描述节点名称的对话框。
C. 鼠标左键只点击连接点上方的连线,可以改变该位的电平状态。
《逻辑与计算机设计基础》(原书第五版)课后习题答案-chapter02_solutions-5th
e) For any element A, A is defined such that for all i, i = 0, ... ,3, Ai equals the NOT1 of Ai.
a) AC ABC BC AC ABC (ABC BC) AC (ABC ABC BC (AC AC) BC A BC
0
Hale Waihona Puke 0000001 0 1 0
1
00
1
1
010 1 0 0
1
01
0
1
011 1
00
1
00
1
1
100 0
01
1
10
0
1
101 0
10
1
10
0
1
110 0
01
1
01
0
1
111 0
00
0
00
0
0
2-2.*
a) X Y XY XY
(X Y X Y ) (XY XY ) X (Y Y ) Y (X X ) X Y
1
1
Z
X Z Y Z WXY W XYZ
a)
Y
11
1
1 W1
1
1 X
1
Z
F XZ Y Z W XY W XYZ
b)
C
1
11 1 B
A 111 11
D
F BC ACD ABD ABC (ABD or ACD)
00
0
0
00
1
0
01
0
0
01
1
1
10
0
0
10
逻辑与计算机设计基础课后答案及分析和实验
浙江大学计算机学院实验教学中心逻辑与计算机设计基础实验2010~2011秋冬9掌握二进制计数器/定时器的工作原理与设计方法掌握用计数器进行分频的概念和方法实验设备装有ISE的计算机系统1台Spartan III 实验板1套实验材料无设计一个数字钟,使用60进制和24(12)进制计数器,实现24小时内时间的实时显示。
60进制计数器用10进制与6进制计数器的组合来实现;24(12)进制可用类似方法实现。
采用4个计数器分别实现分钟的个位、分钟的十位、小时的个位、小时的十位计数。
数字钟的初值通过初始化语句来实现,用数码管前两位显示小时的十位和个位,后两位显示分钟的十位和个位。
把时钟加到多功能计算器中。
计数器是复杂数字系统和计算机硬件系统中的一个基本部件,是计数、分频、定时、同步和时基等电路的核心,在计算机、网络、通信等设备中经常使用到。
本实验以计数器为例,采用行为级描述的方法设计时序电路,实现各种常用的计数器和定时器。
•60进制计数器用10进制与6进制计数器的组合来实现•24进制计数器用4进制与6进制计数器的组合来实现module m_gen_min (clk_sec, clk_hour, min_low, min_high);input wire clk_sec;output reg clk_hour;output reg [3:0] min_low, min_high;reg [15:0] cnt;always @(posedge clk_sec) begin if (clk_hour == 1)clk_hour = 0;if (cnt == 59) begin cnt = 0;if (min_low == 9) begin min_low = 0; /* base 10 */if (min_high == 5) begin min_high = 0; /* base 6*/clk_hour = 1;end else min_high = min_high + 1;end else min_low = min_low + 1;end else cnt = cnt + 1;endendmodule分钟的生成以秒脉冲为时钟信号,将10进制和6进制组合成60进制,并产生小时脉冲信号。
《逻辑与计算机设计基础》(原书第五版)课后习题答案-chapter07_solutions-5th
《逻辑与计算机设计基础》(原书第五版)课后习题答案1 CHAPTER 7© 2016 Pearson Education, Inc.7-1.*a)==A 16, D 8b)==A 19, D 32c)==A 26, D 64d)==A 31, D 17-2.a) ==102102(835)(1101000011), (15, 103)(0011101011111111)b)(513)10 = (10 0000 0001)2, (44,252)10 = (1010 1100 1101 1100)27-3.*Number of bits in array = =⨯==*16420101022222Row Decoder size = 210a)Row Decoder = 10 to 1024, AND gates ==1021024 (assumes 1 level of gates with 10 inputs/gate) Column Decoder = 6 to 64, AND gates = 26 = 64 (assumes 1 level of gates with 6 inputs/gate)Total AND gates required = 1024 + 64 =1088b) ===102(32000)(0111110100000000), Row 500, Column 07-4. a)Number of RAM cell arrays ==⨯===3114142838(2G 2)/(222)(28)b) a)Number of RAM cell array s = 8(2G = 231)/(214 x 214 = 228) = (23 = 8)b)A A A 7-5.15 row pins + 14 column pins ==292512 M addresses7-6.With 4-bit data, the RAM cell array contains 232/22 = 230 words.The number of address pins is 30/2 = 15.7-7.Interval between refreshes = 64ms/8192 = 7.8125 μsUsing the 60 ns refresh time from the text example, total time for refresh = 8192 × 60 ns = 0.49 ms Minimum number of pins = 132 7-8.*a)2 MB/128 K × 16 = 2MB/ 256 KB = 8 b) With 2 byte/word, 202MB/2 B 2, Add Bits = 20 128K addresses per chip implies 17 address bits. c)3 address lines to decoder, decoder is 3-to-8-line7-9.7-10.An SDRAM simultaneously reads the desired row and stores all of the information in the I/O logic. Next the desired column is read from the I/O logic and the data appears on the output. During burst transfers, the subsequent data words are read from the I/O logic and placed on the output. This occurs for the predetermined number of words known as the burst length. For burst transfers, this is faster since the row has already been pre-read. 7-11.A DDR SDRAM uses both the positive and negative edges of the clock to transfer data. This allows the DRAM to transfer twice as much data while keeping the same clock frequency.。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
X Y
DA
Clock C
D
BX
Z
Clock C
2
Present state
AB
00 00 00 00 01 01 01 01 10 10 10 10 11 11 11 11
Inputs
XY
00 01 10 11 00 01 10 11 00 01 10 11 00 01 10 11
Next state
Input
1 0 011 0 1
1
1
1
0
Output
0 1 000 1 0
0
0
0
1
Next State 01 00 00 01 11 00 01 11 10 10 00
4-10.
00/0 11/1
01/0 10/1 11/0 0
00/0 01/1 10/0 11/1 01/0
00/1 1
01/1, 10/0
0
0
0 00
0 0
001
0
11
1 10
1 10
1 11
11
0
1
10
0
1
1 0
1
01
0
00
1
11
0
10
1
1
Nextt state state AB
A 0B 0
1
0
0 00 1
1 00 0
0 11 0
0 1
1
0 0
1
1 11 1
1 01 1
01
DA
B
1
A1 1
1
X
DDAA = AAXX+BBXX
DB
B
1
S
0S
0
0 0
0
10
10
10
11
1
1
1
00/0, 11/0
01/1, 10/1
0
1
00/1, 11/1
01/0, 10/0
Format: XY /S
3
Problem Solutions – Chapter 4
4-9.
Present State 00 01 00 00 01 11 00 01 11 10 10
Present state Present state
Q
0Q
0
00
0 1
0
10
10
11
1
1
1
Inputs Inputs
XY
0 X0 Y
01
1 1
0 01
0
0 00 1
0 11 0
1 10 1
1 01 0
01
10
11
Next state Next state
Q
0Q
1
1 0
0
11
01
00
11
0
0
1
Output Output
CHAPTER 4 4-1.
Problem Solutions – Chapter 4 © 2016 Pearson Education, Inc.
4-2. 4-3. 4-4.
1
4-5.
Problem Solutions – Chapter 4
Y
DA
Clock C
DB
Z
Clock C
Present state
AB
Input Y
Next state
AB
Output Z
S0 - 00 S1 - 01 S2 - 10 S3 - 11
00
0
01
1
00
1
10
1
01
0
01
0
01
1
10
0
10
0
01
0
10
1
00
0
11
0
01
0
S0
1
0
0
1
1
S3
0
0 S1 0
11
1
10
0
1
1
1
S2 0
1/1
d) This machine is a Moore machine.
case (state) state0: case ({X,Y}) 2'b00: Z = 1'b0; 2'b10: Z = 1'b1; default: Z = 1'bx; endcase state1: case ({X,Y}) 2'b00: Z = 1'b1; 2'b10: Z = 1'b0; default: Z = 1'bx; endcase
input CLK, RESET, X, Y; output Z; reg state, next_state;
parameter state0 = 1'b0, state1 = 1'b1; reg Z;
// state register: implements positive edge-triggered // state storage with asynchronous reset. always @(posedge CLK or posedge RESET) begin
if (RESET) state <= state0;
else state <= next_state;
end
// next state function: implements next state as function // of X, Y and state always @(X, Y or state) begin
input CLK, RESET, X, Y; output Z; reg state, next_state; parameter state0 = 1'b0, state1 = 1'b1;
reg Z;
// state register: implements positive edge-triggered // state storage with asynchronous reset. always @(posedge CLK or posedge RESET) begin
1 1
11 11 00
00 11 10
1 1
0
1 1
1
1 1
0
01 11 10
011
111
111
011
X=0
000
100
11 0
011
001
010
101
111
X=1
001
100
010
101
000
011
111
11 0
StSattaeteddiaiaggrraamm iiss tthheeccoommbbiniantaiotinoonf othfethaeboavbeotwveo tdwiaogrdamiasg.rams.
S0 - 00 S1 - 01 S2 - 10 S3 - 11 Format: XY/Z (X = unspecified)
X1/0, 1X/0
S0 00/0
01/1 1X/0
S1
00 /1
01/0
S2 00/0
1X/0
01/1 S3
00/1, 1X/0
4-7.*
PPresenntt ssttaattee
6
Problem Solutions – Chapter 4
d)
A
X1
X1
X2
X2
A
X1 X2
C X1
A X1 X2
A X1 X2 D X1 X2
D X1 X2
D X1 X2
D X1 X2
C X1
A
X1
X2
A
X1
B
X1
S
C
X2 D
X2
B
D X1
C
B
D
X1
7
4-15.
Problem Solutions – Chapter 4
AA BB CC
000
00 00 00
00 00 01
0 0 000
0 0 011
1 1 100
00 11 01
00 11 01
0 0 111
1 1 000
1 1 001
11 00 01
11 01 00
111 011 101 11 01 11
110
110
111
4-18. 1 1
InInppuutt
XX
case (state) state0: next_state = ({X,Y} == 2'b10) ? state1: state0; state1: next_state = Y ? state0 : state1;
endcase end
// output function: implements output as function // of X, Y, and state always @(X or Y or state) begin
x1/x
00/0
00/1
x1/x
10/0
0
1
10/1
Present state
Q(t)
0 0 0 0 1 1 1 1
Next InpPurtessent state stateInputs
X