数电课程设计报告-汽车尾灯控制电路设计

合集下载

数电课程设计实验报告

数电课程设计实验报告

目录汽车尾灯控制电路设计第一章设计指标 (3)设计指标 (3)第二章系统概述.......................................... .. .. (3)2.1设计思想 (3)2.2可行性论证 (5)2.3各功能的组成 (5)2.4总体工作过程 (5)第三章单元电路设计与分析 (6)3.1各单元电路的选择 (6)3.2设计及工作原理分析 (9)第四章电路的组构与调试.......................................... (9)4.1 遇到的主要问题 (9)4.2 现象记录及原因分析 (9)4.3 解决措施及效果 (9)4.4 功能的测试方法、步骤、设备、记录的数据 (9)第五章结束语 (9)5.1对设计题目的结论性意见及进一步改进的意向说明 (9)5.2 总结设计的收获与体会 (9)附图(电路图、电路总图) (11)参考文献 (11)第一部分:汽车尾灯控制电路设计第一章设计指标用6个发光二极管模拟汽车尾部左、右两侧的3个尾灯,用开关模拟左转、右转、刹车、倒车和检查控制。

当汽车处于左转或右转状态时,左侧或右侧的3个汽车尾灯按照左循环或有循环的顺序以1Hz的频率依次轮流点亮。

当刹车键按下时,汽车所有的尾灯同时长亮。

当倒车键按下时,汽车所有的尾灯以1Hz 的频率闪烁,同时蜂鸣器以0.5s响、0.5秒停的方式鸣响。

4个按键优先级别最高为倒车。

若转弯键和刹车键同时按下,转弯侧的灯轮流循环亮,另一侧的灯长亮。

若左转、右转按键同时按下,做刹车处理。

第二章系统概述2.1设计思想分析设计要求可知,电路主要根据三个按键对两组6个发光二极管进行控制。

发光二极管的点亮模式有3种:循环轮流点亮,闪烁,长亮。

发光二极管循环轮流点亮采用的是计数器控制译码器实现电路,闪烁点亮和蜂鸣器鸣响采用的是一定频率的脉冲信号控制。

左右两组尾灯模式对称,所以采用的是相同的模式控制。

每组尾灯有3路输出,采用三进制计数器控制2—4译码器74139m实现,74139m为高电平有效。

数电课程设计汽车尾灯控制电路

数电课程设计汽车尾灯控制电路

汽车尾灯控制电路的设计一、设计基本要求:假设汽车尾部左右两侧各有3个指示灯(用发光二极管模拟)1.汽车整车运行时指示灯全灭;2.右转弯时,右侧3个指示灯按右循环顺序点亮;3.左转弯时左侧3个指示灯按左循环顺序点亮;4.临时刹车时所有指示灯同时闪烁二、设计方案:1.汽车尾灯显示状态与汽车运行状态的关系为了区分汽车尾灯的4种不同的显示模式,需设置2个状态控制变量。

假定用开关J1和J2进行显示模式控制,可列出汽车尾灯显示状态与汽车运行状态的关系,如下表所示。

2.在汽车行驶过程中,汽车的尾灯会根据汽车行驶的状态相应的发生状态的变化。

假设汽车尾灯左右两侧各有三个指示灯,设计一个用于控制汽车尾灯的电路。

方案原理框图如下图所示开关控制电路显示、驱动电路译码电路计数器{尾灯电路汽车尾灯控制电路原理框图本设计采用的方案主要由开关控制电路,三进制计数器,译码、显示驱动电路组成。

由于汽车左转或右转时,三个指示灯循环点亮,所以用三进制计数器控制译码器电路顺序输出低电平,从而控制尾灯按要求点亮。

三、电路设计步骤:1.时钟脉冲电路由于N555定时器内部的比较器灵敏度比较高,输出驱动电流比较大,功能灵活,而且采用差分电路形式,它的振荡率受电源电压和温度的影响小,由555定时器构成的多谐振荡器频率比较稳定,不易干扰;且此电路对秒脉冲的精度要求不是很高,所以选用有555构成的多谐振荡器做为脉冲电路。

时钟脉冲电路如下图1所示:1.时钟脉冲电路555定时器引脚图2. 三进制计数器汽车左或右转弯时由于是三个指示灯循环点亮,所以用三进制计数器控制译码电路顺序输出低电平,从而控制尾灯按要求电路,由此得出在每种运行状态下,各指示灯与各给定条件的关系,即逻辑功能表如下表所示:(0表示灯灭,1表示灯亮)三进制计数器功能表此计数器由74LS163芯片主要构成。

下面分别是它的真值表和引脚图:74LS163真值表74LS163引脚图三进制计数器电路图如下图2所示:2.三进制计数器电路图3、开关控制电路开关控制电路通过控制开关J1和J2的开通于关断,实现汽车正常行驶、左转弯、右转弯和刹车四种状态。

电子技术课程设计---汽车尾灯设计

电子技术课程设计---汽车尾灯设计

数字电子技术课程设计题目:汽车尾灯控制班级:姓名:学号:指导教师:完成时间:汽车尾灯控制电路一.设计任务设计一个汽车尾灯控制电路,用6个发光二极管模拟汽车尾灯,即左尾灯(L1-L3)3个发光二极管;右尾灯(R1-R3)3个发光二极管。

用两个开关分别控制左转弯尾灯显示和右转弯尾灯显示。

当左转弯开关KL打开时,左转弯尾灯显示的3个发光二极管按右循环规律(L1L2L3→L2L3→L3→全灭)显示。

同样,当右转弯开关KR被打开时,右转弯尾灯与左转弯灯相同规律显示,但方向相反(R1→R1R2→R1R2R3→全灭→R1)。

图1 左转弯显示规律图二、设计条件本设计基于数字电子技术基础实验,通过自行设计电路图并在电脑上利用Multisim软件进行仿真。

三、设计要求及原理本课程设计分为实际设计与虚拟仿真两个环节。

根据以上要求,要实现当左转弯开关KL打开时,左转弯尾灯显示的3个发光二极管按右循环规律(L1L2L3→L2L3→L3→全灭→L1L2L3)显示,如图1。

同样,当右转弯开关KR被打开时,右转弯尾灯与左转弯灯相同规律显示,但方向相反。

当KR和KL同时按下时,L1L2L3和R1R2R3均按时钟闪烁。

可先用74138对开关信号译码来产生高低电平,再用74163来计数,最后把产生的数用逻辑器件来实现上述要求。

根据不同的状态,绘制汽车尾灯和汽车运行状态表如下;图2 汽车尾灯控制电路设计总体框图四、设计内容(一).分步设计:1.时钟信号源(CLK )设计:①.设计说明: 在本次实验中,汽车尾灯的闪烁快慢要由计数器74163产生的数的快慢来决定,即与时钟CLK 的频率有关。

在本次设计中,我想利用555定时器产生0.5kHZ 的方波。

②.设计计算公式:高电平时间: 221ln )(C R R t ph += 低电平时间: C R t pl 2=2ln ③.设计最后图形如图(3):图(3)④.仿真波形以及连接图形如图(4):如图(3)所示为在Multisim里仿真时的实际连接电路。

电子技术课程设计汽车尾灯控制电路

电子技术课程设计汽车尾灯控制电路

电子技术课程设计——汽车尾灯控制电路学院专业、班级姓名学号指导老师汽车尾灯控制电路一、 设计任务与要求(1) 内容:用6只发光二极管模拟6盏汽车尾灯(汽车尾部左、右各3盏),用两个开关作为转弯控制信号(一个开关控制右转弯,另一个控制左转弯)。

(2) 要求:当汽车往前行驶(此时两个都未接通),6盏全灭。

当汽车转弯时,若右转弯(即右转开关接通),右边3盏尾灯从左到右顺序亮灭,左边3盏全灭;若左转弯(即左转开关接通),左边3盏尾灯从右到左顺序亮灭,右边3盏全灭。

当左右两个开关同时接通时,6盏尾灯同时明、暗闪烁。

二、 总体框图时钟脉冲是一个激励信号,给左右两个灯控制模块脉冲。

多路选择器是选择它的有用输出,在这个实验中,它有2个输入,3个输出当转到left开关时,输出选lfen。

当转到right开关时,输出选rten.当两个都不开时,输出选lR,它是一个清零端。

左右边控制模块是控制它的输出,使它们的输出依次进行。

灯是起到亮灭的作用。

三、选择器件(1)CK起到了给左右两个模块一个脉冲。

(2)CTRL在这里是主控模块,主要是选择作用,它的输入是两个开关,输出主要选择哪个开关起到作用。

(3)LEFTP是一个左灯控制模块,是一个沿时作用,它使3个输出灯依次亮灭。

(4)RIGHTP是一个右灯控制模块,也起延时作用,当脉冲到来时,RIGHTEN为高电平时,输出的三个灯从左到右依次亮灭。

四、功能模块1、(1)时钟脉冲的VHDL语言library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity ck isport(clk:in std_logic;cp:out std_logic);end ck;architecture a of ck issignal cnter:std_logic_vector(7 downto 0);beginprocess(clk)beginif clk'event and clk='1'thencnter<=cnter+1;end if;end process;cp<=cnter(3);end a;(2)时钟脉冲的生成符号CK的功能就是起激励信号的作用,它给左右两灯的控制模块一序列脉冲。

数字电路课程设计汽车尾灯控制电路报告.

数字电路课程设计汽车尾灯控制电路报告.

沈阳航空航天大学课程设计(说明书)汽车尾灯控制电路设计班级/ 学号04070202-2072学生姓名张小田指导教师赵敏沈阳航空航天大学课程设计任务书课程名称电子技术综合课程设计院(系)专业班级202 学号姓名课程设计题目汽车尾灯控制电路设计课程设计时间: 2 年12月24 日至 2 年1 月6 日课程设计的内容及要求:一、设计说明在汽车行驶过程中,汽车的尾灯会根据汽车行驶的状态相应的发生状态的变化。

假设汽车尾灯左右两侧各有三个指示灯,设计一个用于控制汽车尾灯的电路。

其原理参考框图如图1所示。

开关控制电路显示、驱动电路译码电路计数器{尾灯电路图1 汽车尾灯控制电路原理框图二、技术指标1.汽车正常运行时指示灯全灭;2.汽车右转弯时,右侧三个指示灯按右循环顺序点亮;3.汽车左转弯时,左侧三个指示灯按左循环顺序点亮;4.汽车临时刹车时所有指示灯同时闪烁。

三、设计要求1.汽车尾灯控制电路的直流稳压电源要求自行设计。

2.在选择器件时,应考虑成本,要求指示灯用发光二极管模拟。

3.根据技术指标,通过分析计算确定电路和元器件参数。

4.画出电路原理图(元器件标准化,电路图规范化)。

四、实验要求1.根据技术指标制定实验方案;验证所设计的电路。

2.进行实验数据处理和分析。

五、推荐参考资料1.刘修文主编.实用电子电路设计制作.[M]北京:中国电力出版社,2005年2.朱定华主编.电子电路测试与实验.[M]北京:清华大学出版社,2004年3.路勇主编.电子电路实验及仿真.[M]北京:北方交通大学出版社,2004年六、按照要求撰写课程设计报告指导教师年月日负责教师年月日学生签字年月日成绩评定表评语、建议或需要说明的问题:成绩指导教师签字:日期:汽车尾灯控制电路设计一. 概述1.首先由开关控制电路,进而控制汽车尾灯闪亮,开关电路包括:非门,异或门,与非门,电阻,直流稳压电源。

分别控制输出的高低电平,以便达到控制各芯片工作的目的。

《数字电子技术课程设计》——汽车尾灯控制电路

《数字电子技术课程设计》——汽车尾灯控制电路

《数字电子技术课程设计》——汽车尾灯控制电路一、设计目的要求了解汽车尾灯控制电路的工作原理,掌握其外围电路的设计与主要性能参数的测试方法。

二、设计任务1、运用所学的理论知识,设计一种通过TTL 系列逻辑门及时序逻辑芯片设计模拟汽车尾灯工作情况电路。

2、通过制作脉冲产生器、任意进制的计数器和译码器的改用等一系列方法,以及显示驱动和模式控制的电路设计来完成任务。

3、设计通过发光二极管模拟汽车尾灯来实现了汽车在行驶时候的四种情况:正常行驶,左拐弯,右拐弯,紧急刹车。

4、设汽车尾部左右两侧各有3 个指示灯(用发光管模拟),要求是:(1)汽车正常行驶时,尾灯全部熄灭。

(2)当汽车右转弯时,右侧3 个指示灯按右循顺序点亮。

(3)当汽车左转弯时,左侧3 个指示灯按左循顺序点亮。

(4)临时刹车时,所有指示灯同时闪烁。

三、设计方案根据设计任务进行分析设计,列出设计过程、确定设计方案,按功能模块的划分选择元器件、集成电路,设计电路图,阐述基本原理。

1、任务和性能指标:用6个指示灯模拟汽车尾灯,两个开关模拟制动器。

(1)汽车正常行驶,所有指示灯都熄灭;(2)汽车右转弯时,右侧3个指示灯按右循顺序点亮;(3)汽车左转弯时,左侧3个指示灯按左循顺序点亮;(4)汽车紧急刹车时,所有指示灯同时闪烁。

2、选择总体方案:汽车尾灯控制电路主要由开关控制电路,jk时序逻辑电路,3-8译码器,显示驱动电路构成。

3-8译码器是低电平有效,从而控制尾灯按要求点亮。

3、设计单元电路:1.电源模块:提供稳定的电源给整个电路系统。

2.逻辑芯片:作为控制核心,处理输入信号并生成尾灯的控制信号。

选择适合的逻辑芯片,并根据其规格设计相应的最小系统,包括时钟、复位等。

3.尾灯驱动模块:根据逻辑芯片的输出信号,驱动尾灯的灯泡或 LED。

将计数器的输出信号转换为对应的控制信号,用于点亮或熄灭尾灯。

发光二极管将让的的点亮和熄灭。

这部分电路需要确保足够的电流能够流过LED,以使其正常发光。

数字电子技术课程设计汽车尾灯控制电路

数字电子技术课程设计汽车尾灯控制电路

数字电子技术课程设计汽车尾灯控制电路随着社会的发展和科技的进步,数字电子技术已经成为现代社会发展的重要基础。

在车辆电子控制领域,数字电子技术也得到了广泛应用,如汽车尾灯控制电路。

本文将围绕数字电子技术课程设计汽车尾灯控制电路进行介绍和分析。

一、设计思路汽车尾灯是车辆常备顺从零配件,灯光作为协调交通规则和保障行车安全的重要部件,在我们的日常生活中扮演着非常重要的角色。

数字电子技术通过数字逻辑与模拟电子技术的基础集成,可以用于控制车辆配件的电路控制。

在本次课程设计中,主要是要探索如何用数字电子技术结合汽车尾灯控制电路的原理,来实现对汽车尾灯的控制。

所设计的汽车尾灯控制电路能够实现开、关、闪烁等常见功能,增强汽车在夜间行驶的安全性。

二、设计实现1、基础材料在开始设计汽车灯控制电路之前,我们需要准备一些基础的材料和工具。

这些材料包括:数字电路实验箱、Transistor、电阻、贴片电容、led 灯、按键开关、跳线等元件。

工具包括:万用表、烙铁、导线剪切器、镊子、吸锡器等。

2、电路原理本次课程设计基于NPN 型晶体管的单灯驱动电路。

NPN 型晶体管有一个基极、一个发射极和一个集电极,其还具有放大电流和开关控制的特性。

通过控制基极电流,实现开关引脚与驱动电源引脚的控制,从而可以实现对LED 灯的控制。

3、电路图设计汽车尾灯控制电路的电路图如下:其中,RTC 是无源谐振,目的是在led 灯熄灭的时候,正常开关的微动触点的弹跳时间,避免led 灯在微动过程中作出爆闪效果,影响谷车正常行驶。

按键开关通过触摸来控制灯的亮度,松开手即可保存亮度调整值。

4、电路操作按键开关按下可调节LED 灯的亮度,长按开关可关闭LED 灯,仿佛就像是一部智能的汽车电子控制系统,简洁易操作。

在使用过程中,需要特别留意安装电路的电瓶的电压大小,以免过大或过低导致短路,烧毁电路。

三、实验结果通过将设计好的电路拼装后,进行了实验测试。

实验的结果表明,设计的汽车尾灯控制电路可以实现开、关和闪烁等控制,且相互之间非常便捷。

课程设计-汽车尾灯控制电路设计

课程设计-汽车尾灯控制电路设计

课程设计-汽车尾灯控制电路设计汽车尾灯控制电路是指在汽车照明系统中,以确保行车安全和减少照明系统损耗为目的,实现尾灯的衔接、协调点亮的电路系统。

该电路系统具有可靠的工作性能,可在脉冲信号输入的基础上精确控制尾灯灯光的亮度和频率,从而达到节能减排和安全而又省电的效果。

汽车尾灯控制电路是一种模拟电路,其主要构成由控制器、变频器、电力变换器和LED灯元件构成,以及电池供电系统。

控制器是处理尾灯灯光各种变化信号的核心,可根据实际情况进行照明系统的调节,如行车速度的改变、车辆的夜间行车、城市道路上的车流量等,以保证尾灯灯光的有效控制。

变频器功能是指把低频电压变为高频电压,再通过电力变换器与LED灯元件结合,实现对LED灯元件输出功率的控制和调节,保证LED灯产生不同亮度和频率的灯光。

电池供电系统为汽车尾灯控制电路提供所需电容量,同时兼顾节能减排和省电的设计,使得尾灯更加安全有效。

尾灯控制电路的设计要求除了具有高可靠性之外,可靠的防错性能也必不可少。

首先,输入脉冲信号必须通过电路芯片的转换器从低频变为高频,以防止尾灯的恒流灯光,同时保证尾灯的灵活调节。

其次,电池供电系统必须具备安全可靠的保护功能,防止超流短路,超温和其他可能损坏组件的供电意外情况发生,以保证系统的正常工作性能和可靠性。

最后,LED灯元件设计要求考虑到结构小巧、体积轻、节能耐用的特点,以满足尾灯的模块化设计。

总之,汽车尾灯控制电路是安全驾驶和照明系统可靠性的关键环节,设计要求必须兼顾可靠性、灵活性和节能等多种因素,以保证照明系统的安全性和便捷性。

其中又以输入脉冲信号的转换、变频器技术和LED灯元件设计为核心,可满足对车辆尾灯高性能要求和实现可靠的节能减排效果。

汽车尾灯控制电路的设计.

汽车尾灯控制电路的设计.

电子课程设计——汽车尾灯控制电路的设计院系学院班级电气班姓名学号指导教师目录摘要---------------------------------------------------------------------------------------------21 设计任务与要求------------------------------------------------------------------------------42 方案论证与设计------------------------------------------------------------------------------5 3单元模块电路设计---------------------------------------------------------------------------11 4仿真结果及分析------------------------------------------------------------------------------21 5心得体会--------------------------------------------------------------------------------------23本次课设要求设计一个汽车尾灯的控制电路,用于反映汽车在运行时的状态。

汽车尾部左右两侧各有3个指示灯,当接通左转、右转、刹车和正常行驶时,指示灯按照指定要求闪烁。

对汽车的四种状态,分别用0,1对其进行二进制编码,正常行驶,向右转弯,向左转弯,紧急刹车分别对应00,01,10,11,故可以用两个开关S,S作为汽车运行信号的输入,控制清零端来控制寄存器;由计数器和数据选择器产生序列信号,送给寄存器的串行输入端完成循环左右移动;由555定时器产生时钟脉冲,经二分频后送给寄存器完成灯的闪烁。

汽车尾灯控制电路(课程设计报告)

汽车尾灯控制电路(课程设计报告)

摘要 (1)一、设计任务 (2)二、实验目的 (2)三、总体设计方案 (2)3.1 设计思路 (2)3.2 设计原理 (3)四、电路组成 (3)4.1 模式控制电路 (3)4.2 时钟信号源 (4)4.3 驱动电路与显示电路 (4)五、硬件电路安装、调试 (6)5.1 遇到的主要问题 (6)5.2 现象记录与原理分析 (6)5.3 解决措施及效果 (6)六、仿真结果 (6)七、实验总结与体会 (9)八、参考文献 (9)九、附录 (9)随着经济的发展,汽车越来越被人们所需要,而由此也引发了一系列问题。

比如,因为汽车突然转向所引发的车祸经常出现。

如果汽车转弯可以通过尾灯的状态变化来确定,就可以提示司机、行人朋友们车子正在转弯,一定程度的避免车祸的发生。

因此,本方案设计了一个“汽车尾灯控制电路”。

“汽车尾灯控制电路”作为电子技术基础课程的一个实践,利用基本的芯片:双向移位寄存器74LS194,二输入与非门74LS00、555定时器及电阻、电容进行搭建。

综合数字电路和模拟电路的知识,提升了我们处理实际问题的能力,有助于增强我们将理论转为实际的意识,是一种很好的锻炼和学习方式。

【关键词】:汽车尾灯控制电路;74LS194;74LS00;555定时器。

一、设计任务设计一个汽车尾灯控制电路,要求汽车尾部左右两侧各有3个指示灯(用发光二极管模拟),当在汽车正常运行时指示灯全灭;在右转弯时,右侧3个指示灯按右循环顺序点亮;在左转弯时,左侧3个指示灯按左循环顺序点亮;在临时刹车时,所有指示灯同时点亮。

二、实验目的1、锻炼学生综合运用电子技术基础知识以及动手能力;2、提高学生使用中规模集成芯片以及调试较大型电子系统的能力;3、使学生了解基本逻辑单元电路在实际生活中的应用,为今后进行复杂的综合型电子系统的设计和调试打下基础。

三、总体设计方案3.1 设计思路分析以上设计任务,由于汽车左转弯或右转弯时,3个指示灯循环点亮,所以用双向移位寄存器74LS194顺序输出高电平,从而控制尾灯按要求点亮。

数电课程设计汽车尾灯控制器

数电课程设计汽车尾灯控制器

20180825课题汽车尾灯控制器_______________ 专业电子信息工程________________ 班级电子Z121班_________________ 姓名 ______2016年5月20日目录第 1 章概述 (3)第 2 章方案论证 (4)第 3 章电路设计 (6)3.1 时钟脉冲电路 (6)3.2 开关控制电路 (6)3.3 三进制计数器 (7)3.4 译码、显示驱动电路 (8)第 5 章结论 (10)第7 章课设体会及合理化建议 (12)参考文献 (13)附录I 总电路图 (14)附录II 元器件清单 (15)2第1 章概述汽车尾灯控制电路是很常见的工作电路,在日常的生活中有着很广泛的应用。

汽车行驶时,会出现正常行驶、左转弯、右转弯、刹车四种情况,针对这四种情况可以设计出汽车尾灯的控制电路来表示这四种状态。

设计一个汽车尾灯的控制电路,技术指标如下:(1)假设汽车尾部左右两侧各有三个指示灯(用发光二极管模拟);(2)汽车正常运行时指示灯全灭;(3)汽车左转弯时,左侧三个指示灯按左循环顺序点亮;(4)汽车右转弯时,右侧三个指示灯按右循环顺序点亮;(5)汽车刹车时,所有指示灯同时闪烁。

第2章方案论证汽车尾灯控制电路主要由D触发器逻辑电路,左、右转控制电路、刹车控制电路构成。

首先将脉冲信号CLK提供给D触发器逻辑电路。

用三片D触发器设计一个逻辑电路可以产生001、010、100的循环信号。

将此信号作为左转、右转的原始信号。

设置左、右转控制开关。

通过开关的控制将左转、右转的原始信号通过逻辑电路分别输出到左、右的三个汽车尾灯上。

这部分电路起电路分拣的作用。

设置刹车控制开关将脉冲信号CLK提供给刹车控制电路。

当开关置为刹车信号时,分拣之后的信号通过逻辑电路实现刹车时所有指示灯随着时钟信号CLK 全部闪烁的功能。

最终得到的信号即可输出到发光二极管上,实现所需功能。

方案一原理框图如图1所示。

汽车尾灯控制电路数电课程设计

汽车尾灯控制电路数电课程设计

汽车尾灯控制电路数电课程设计
汽车尾灯控制电路数电课程设计
一、实验目的
1. 掌握汽车尾灯控制电路的原理;
2. 熟悉并掌握汽车尾灯控制的数电技术;
3. 培养学生的实验设计能力、分析问题、解决问题的能力;
4. 培养学生的操作能力。

二、实验内容
汽车尾灯控制电路,是汽车的一个重要电气配件。

由于汽车的多种操作,使得它的尾灯实现多种控制,如停车时,车辆正后方灯应该开起,汽车转弯、加减速时,采取不同的操作方式,使得尾灯达到一定的效果。

本实验的目的是利用数电技术设计一个汽车尾灯控制电路,控制汽车的尾灯。

三、实验要求
1. 实现汽车尾灯控制的基本功能;
2. 将控制信号转换成电气信号;
3. 编制程序实现尾灯控制功能;
4. 进行综合测试,验证控制电路的可靠性。

四、实验步骤
1. 了解汽车尾灯控制原理;
2. 确定控制电路电路原理;
3. 对控制电路组成部件进行布线;
4. 编写控制程序,实现控制功能;
5. 进行正常和异常测试;
6. 运行实验,反复验证结果。

数电课程设计(汽车尾灯控制电路)

数电课程设计(汽车尾灯控制电路)

数字电子课程设计汽车尾灯控制电路设计院系:专业:姓名:班级:学号:时间:指导老师:目录1 设计内容及要求2 电路的工作原理3 系统方案4 系统框图5 单元电路的具体设计6 总体电路图7 测试单元电路8 调试过程中遇到的问题及解决方法9 设计体会心得10 参考文献设计前言本课题设计一个汽车尾灯的控制电路。

汽车尾部左右两侧各有3个指示灯。

当接通左转、右转、刹车和检查时,指示灯按照指定要求闪烁。

电路设计预计所需元件:74LS00两个、74LS04两个、74LS32两个、74LS74两个、555定时器一个、0.01uF和10uF电容各一个、50k欧姆和43k欧姆电阻各一个、1k欧姆电阻4个、200欧姆电阻6个、开关4个、发光二极管6个、导线若干一、设计内容及要求本课题设计一个汽车尾灯的控制电路。

该电路由四个电键控制,分别对应着左转、右转、刹车和检查功能。

当接通左转或右转电键时,左侧或右侧的3个汽车尾灯按照左循环或右循环的顺序依次点亮。

当接通刹车电键时,汽车所有的尾灯同时闪烁。

当接通检查电键时,汽车所有的尾灯点亮。

二、电路的工作原理经过以上所述的设计内容及要求的分析,可以将电路分为以下几部分:首先,通过555定时器产生频率为1Hz的脉冲信号,该脉冲信号用于提供给D触发器和刹车时的输入信号。

3个D触发器用于产生三端输出的001、010、100的循环信号,此信号提供左转、右转的原始信号。

左转、右转的原始信号通过6个与门以及电键提供的高低电位信号,将原始信号分别输出到左、右的3个汽车尾灯上。

这部分电路起到信号分拣的作用。

分拣之后的信号通过或门,实现与刹车、检查电键信号的之间选择。

最终得到的信号即可输出到发光二极管上,实现所需功能。

三、系统方案通过D触发器产生001、010、100的三种状态信号。

这是本次课程设计中使用的方案,该方案的详细设计将在下面详细叙述。

四、系统框图(图1:系统总体流程框图)五、单元电路的具体设计:1.由555定时器构成的多谐振荡器:由555定时器构成的多谐振荡器时输出频率为:f=1.43/(R1+R2)/C,这里选择R1=43K 欧姆,R2=50K 欧姆,C=10u 法拉,则输出信号为1赫兹(周期为1秒)。

数电课程设计报告-汽车尾灯控制设计

数电课程设计报告-汽车尾灯控制设计

烟台南山学院数电课程设计题目汽车尾灯控制设计姓名:所在学院:自动化工程学院所学专业:班级:学号:****:***完成时间: 2010-12-16内容提要课程设计作为数字电子技术和模拟电子技术课程的重要组成部分,目的是一方面使我们能够进一步理解课程内容,基本掌握数字系统设计和调试方法,增加集成电路应用知识,培养我们的实际动手能力以及分析、解决问题的能力。

另一方面爷可以使我们更好地巩固和加深对基础知识的理解,学会设计中小型系统的方法,独立完成调试过程,增强我们理论联系实际的能力,提高电路分析和设计能力。

通过实践应道我们在理论指导下有所创新,为专业课的学习和日后工程实践奠定基础。

通过设计,一方面可以加深我们的理论知识,另一方面也可以提高我们考虑问题的全面性,将理论知识上升到一个时间的阶段。

设计是工科学生面对的重要课题,经历这个过程才能真切感受到工科的魅力,拉近与生产的距离。

本课程设计是设计一个汽车尾灯的控制电路,实现在不同操作下左右各3个尾灯的不同显示方式:1.在行驶时右转则右边3个灯以:3灯全灭->L4亮->L4、L5亮->L4、l5、L6亮->3灯全灭循环且L1、L2、L3灯一直全灭2.当左转时则左边3个灯以:3灯全灭->L3亮->L2、L3亮->L1、L2、L3亮->3灯全灭循环且L4、L5、L6灯全灭3.当左右2个开关都被借接通时,说明驾驶员是一个外行,紧急闪烁器起作用,6个尾灯大约以1HZ的频率一致地善守着亮与暗的循环4.当驾驶员紧急刹车时,左右两开关均接通六个灯会同时亮这要求我们要正确使用各芯片来实现设计要求,理解实验中用到的各个芯片的引脚和接线及其所能实现的逻辑功能。

在所列出的各项方案中选出最简洁的进行设计。

在设计中先明确地把电路分成几部分:逻辑开关,控制电路,时钟信号产生电路,逻辑电平显示电路,然后根据各个部分设计的电路,然后把所有部分有机结合成一个整体,就设计成了任务要求的电路了。

《课程设计-汽车尾灯控制器的电路设计》精选全文

《课程设计-汽车尾灯控制器的电路设计》精选全文

可编辑修改精选全文完整版一、概述1.设计目的:设计一个汽车尾灯控制电路,实现对汽车尾灯状态的控制。

2.设计要求:在汽.车尾部左右两侧各有3个指示灯〔假定用发光二极管模拟〕,根据汽车运行的状况,指示灯需具有四种不同的状态:①汽车正向行驶时,左右两侧的指示灯处于熄灭状态。

②汽车向右转弯行驶时,右侧的三个指示灯按右循环顺序点亮③汽车向左转弯行驶时,左侧的三个指示灯按左循环顺序点亮④汽车临时刹车时,左右两侧指示灯处于同时闪烁状态。

二、方案设计为了区分汽车尾灯的4种不同的显示模式,需设置2个状态控制变量。

假定用开关R和L进行显示模式控制,可列出汽车尾灯显示状态与汽车运行状态的关系,如表1所示。

表1 汽车尾灯和汽车运行状态在汽车左右转弯行驶时由于3 个指示灯被循环顺序点亮,所以可用一个三进制计数器的状态控制译码器电路顺序输出高电平,按要求顺序点亮3个指示灯。

设三进制计数器的状态用Q1和Q0表示,可得出描述指示灯D1、D2、D3、D4、D5、D6与开关控制变量R 、L,计数器的状态Q1、Q0以及时钟脉冲CP之间关系的功能表如表2所示〔表中指示灯的状态“1”表示点亮,“0”表示熄灭〕。

表2 汽车尾灯控制器功能表根据以上设计分析与功能描述,可得出汽车尾灯控制电路的原理框图如图1。

整个电路可由时钟产生电路、开关控制电路、三进制计数器电路、译码与显示驱动电路等局部组成图1 汽车尾灯控制电路的原理框图三、电路设计脉冲电路的设计方案一:石英晶体振荡器此电路的振荡频率仅取决于石英晶体的串联谐振频率fs ,而与电路中的R 、C 的值无关。

所以此电路能够得到频率稳定性极高的脉冲波形,它的缺点就是频率不能调节,而且频带窄,不能用于宽带滤波。

此电路非常适合秒脉冲发生器的设计,但由于尽量和课堂知识联系起来,所以没有采用此电路。

方案二:由555定时器构成的多谐振荡器由555定时器构成的多谐振荡器。

555定时器的管脚图如图2所示。

由于555定时器内部的比拟器灵敏度高,输出驱动电流大,功能灵活,而且采用差分电路形式,它的振荡频率受电源电压和温度的影响很小。

数电课程设计报告---汽车尾灯控制电路设计

数电课程设计报告---汽车尾灯控制电路设计

数电课程设计报告---汽车尾灯控制电路设计数字逻辑电路课程设计一、设计要求1、设计一个汽车尾灯控制电路,要求能根据汽车运行情况来控制汽车尾部左右两侧各有3个指示灯(用发光二极管模拟);2、汽车正常运行时指示灯全灭;3、汽车右转弯时,右侧3个指示灯按右循环顺序点亮;4、汽车左转弯时,左侧3个指示灯按左循环顺序点亮;在临时刹车时,所有指示灯同时闪烁。

二、总方案设计(1)列出尾灯与汽车运行状态表如下:(2)设计总框图由于汽车左右转弯时,三个指示灯循环点亮,所以用三进制计数器控制译码器电路顺序输出低电平,从而控制尾灯按要求点亮。

由此得出在每种运行状态下,各指示灯与各给定条件(s1、s0、CP、Q1、Q0)的关系,即逻辑功能表如下表所示:由上表得出在总体框图如下尾灯电路S 01(3)设计单元电路①三进制计数器电路 由74LS161构成如下所示:②译码电路由3-8先译码器74LS138和6个与非门构成。

74LS138的三个输入端A 2、A 1、A 0分别接S 1、Q 1、Q 0,而Q 1、Q 0是三进制计数器的输出端。

当S1=0、使能信号A=G=1,计数器的状态为00,01,10时,74LS138对应的输出端Y0,Y1,Y2依次为0有效(Y3,Y4,Y5信号为“1”无效),即反相器G1~G3的输出端也依次为0,故指示灯D1→D2→D3按顺序点亮,示意汽车右转弯。

若上述条件不变,而S1=1,则74LS18对应的输出端Y4、Y5、Y6依次为0有效,既反相器G4~G6 的输出端为0,故指示灯按D4→D5→D6顺序点亮,示意汽车左转弯。

当G=0,A=1时,74LS138的输出端全为1,G6~G1的输出端也全为1,指示灯全灭;当G=0,A=CP时,指示灯随CP的频率闪烁。

74LS138功能表汽车尾灯电路其显示驱动电路由6个法等等二极管和6个反向器构成;④开关控制电路设74LS138和显示驱动电路的使能信号分别为G和A,根据总体逻辑功能表分析及组合得G、A与给定条件(S1、S0、C P)的真值表如下:由上表且经过整理得逻辑表达式为G=S1⊕S0 A=S1S0+S1S0CP=S1S0·S1S0CP则得开关控制电路⑤总电路图四、元器件74LS161、74LS10、74LS00、74LS86、74LS04、74LS138,200欧电 阻、LED 灯。

数电课程设计报告---汽车尾灯控制电路

数电课程设计报告---汽车尾灯控制电路

扬州大学能源与动力工程学院本科生课程设计题目:汽车尾灯控制电路课程:数字电子技术基础专业:班级:学号:姓名:指导教师:完成日期:总目录第一部分:任务书第二部分:课程设计报告第一部分任务书《数字电子技术基础》课程设计任务书一、课程设计的目的本课程是在学完《数字电子技术基础》、《数字电子技术实验》之后,集中一周时间,进行的复杂程度较高、综合性较强的设计课题的实做训练。

主要包括:方案论证、系统电路分析、单元功能电路设计、元器件选择、安装调试、计算机辅助设计、系统综合调试与总结等。

使学生在《数字电子技术》基本知识、实践能力和综合素质、创新意识、水平诸方面得到全面提高,为后续课程的学习,为培养应用型工程技术人才打下重要基础。

通过本课程设计可培养和提高学生的科研素质、工程意识和创新精神。

真正实现了理论和实际动手能力相结合的教学改革要求。

二、课程设计的要求1、加强对电子技术电路的理解,学会查寻资料、方案比较,以及设计计算等环节,进一步提高分析解决实际问题的能力。

2、独立开展电路实验,锻炼分析、解决电子电路问题的实际本领,真正实现由知识向技能的转化。

3、独立书写课程设计报告,报告应能正确反映设计思路和原理,反映安装、调试中解决各种问题。

三、课程设计进度安排1、方案设计;(一天)根据设计任务书给定的技术指导和条件,进行调查研究、查阅参考文献,进行反复比较和可行性论证,确定出方案电路,画出主要单元电路,数据通道,输入、输出及重要控制信号概貌的框图。

2、电路设计:(一天)根据方案设计框图,并画出详细的逻辑图3、装配图设计:(半天)根据给定的元器件,结合逻辑图,设计出电路制作的具体装配图(即绘出组件数量,管脚号以及器件布置的实际位置)。

同时配以必要的文字说明。

4、电路制作:(一天半)对选定的设计,按装配图进行装配,调试实验。

5、总结鉴定:(半天)考核样机是否全面达到现定的技术指标,能否长期可靠地工作,并写出设计总结报告。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

数字逻辑电路课程设计
一、设计要求
1、设计一个汽车尾灯控制电路,要求能根据汽车运行情况来控制汽车尾部左右两侧各有3个指示灯(用发光二极管模拟);
2、汽车正常运行时指示灯全灭;
3、汽车右转弯时,右侧3个指示灯按右循环顺序点亮;
4、汽车左转弯时,左侧3个指示灯按左循环顺序点亮;
在临时刹车时,所有指示灯同时闪烁。

二、总方案设计
(1)列出尾灯与汽车运行状态表如下:
(2)设计总框图
由于汽车左右转弯时,三个指示灯循环点亮,所以用三进制计数器控制译码器电路顺序输出低电平,从而控制尾灯按要求点亮。

由此得出在每种运行状态下,各指示灯与各给定条件(s 1、s 0、CP 、Q 1、Q 0)的关系,即逻辑功能表如下表所示:
由上表得出在总体框图如下
尾灯电路
(3)设计单元电路
①三进制计数器电路
由74LS161构成如下所示:
②译码电路
由3-8先译码器74LS138和6个与非门构成。

74LS138的三个输入端A2、A1、A0分别接S1、Q1、Q0,而Q1、Q0是三进制计数器的输出端。

当S1=0、使能信号A=G=1,计数器的状态为00,01,10时,74LS138对应的输出端Y0,Y1,Y2依次为0有效(Y3,Y4,Y5信号为“1”无效),即反相器G1~G3的输出端也依次为0,故指示灯D1→D2→D3按顺序点亮,示意汽车右转弯。

若上述条件不变,而S1=1,则74LS18对应的输出端Y4、Y5、Y6依次为0有效,既反相器G4~G6
的输出端为0,故指示灯按D4→D5→D6顺序点亮,示意汽车左转弯。

当G=0,A=1时,74LS138的输出端全为1,G6~G1的输出端也全为1,指示灯全灭;当G=0,A=CP时,指示灯随CP的频率闪烁。

74LS138功能表
汽车尾灯电路
其显示驱动电路由6个法等等二极管和6个反向器构成;
④开关控制电路
设74LS138和显示驱动电路的使能信号分别为G和A,根据总体逻辑功能表分析及组合得G、A与给定条件(S1、S0、C P)的真值表如下:
由上表且经过整理得逻辑表达式为
G=S1⊕S0 A=S1S0+S1S0CP=S1S0·S1S0CP 则得开关控制电路
⑤总电路图
四、元器件
74LS161、74LS10、74LS00、74LS86、74LS04、74LS138,200欧电 阻、LED 灯。

四、电路仿真结果 1、S 0闭合S 1开启时的瞬间
2、S0开启S1闭合时的瞬间
3、两开关均闭合时
4、两开关均打开时的瞬间
4、CP端脉冲(1KHZ)
六、实习心得
经过这一周半的实习,学到了很多东西,锻炼了自己的
能力,熟悉了MULTISIM这个软件。

作为信息时代的大学生,仅会操作鼠标是不够的,对原理的理解和电路的设计能力也非常重要。

之前所有的学习几乎都是理论性的,经过这次实习对很多器件的功能有了更深的了解。

虽然由于不熟悉软件在一开始遇到了很多问题,但经过自己耐心的反复琢磨,最终成功仿真了汽车尾灯控制电路。

通过一个多星期的学习,使我们对multisim有了初步的系统了解。

这些知识在课堂上都学不到,当看到自己的汽车尾灯电路在软件上成功仿真时,高兴不已。

希望自己在以后可以从事和数字电路有关的工作。

总的来说自己有如下收获:1、对MULTISIM有了初步的系统了解。

我们了解到了汽车尾灯的构造等。

2、对自己的动手能力是个很大的锻炼。

在实习中,提高了自己解决问题的能力。

虽然在实习中会遇到难题,但是从中我学到了很多,使自己的理解能力也有所提高,我想在以后的理论学习中我就能够明白自己的学习方向,强化了专业知识,更好的掌握数字电路的这门课程。

相关文档
最新文档