Multisim 11.0详细的 安装+汉化+破解 全过程
NI Circuit Design Suite11.0(Multisim)破解与汉化步骤

NI Circuit Design Suite 11.0(Multisim 11.0)破解与汉化版本: 11.0 Educationmultisim 11.0 下载地址:ftp:///evaluation/EWB/NI_Circuit_Design_Suite_11_0.exe 注册机可以从百度上荡一个,汉化包可以从这里找:/f/19332755.html?from=like账户什么的随便注册一个就可以了[版权声明]软件版权归原作者及原软件公司所有,如果你喜欢,请购买正版软件,所提供之软件仅限于个人测试学习之用,由此产生的任何法律问题和经济损失盖不负责。
引用NI Circuit Design Suite(NI电路设计套件)是美国国家仪器有限公司(National Instrument简称NI公司)下属的Electronics Workbench Group推出的以Windows为基础的仿真工具,它可以实现对电路原理图的图形输入、电路硬件描述语言输入方式、电路分析、电路仿真、仿真仪器测试、射频分析、单片机分析、PCB布局布线、基本机械CAD设计等应用。
NI电路设计套件包含下列Electronics Workbench软件产品:电路仿真设计的模块Multisim、PCB设计软件Ultiboard、布线引擎Ultiroute及通信电路分析与设计模块Commsim(以前被称为MultiMCU)4个部分,能完成从电路的仿真设计到电路版图生成的全过程。
Multisim、Ultiboard、 Ultiroute及Commsim 4个部分相互独立,可以分别使用。
Multisim、Ultiboard、Ultiroute及Commsim 4个部分有增强专业版(Power Professional)、专业版(Professional)、个人版(Personal)、教育版(Education)、学生版(Student)和演示版(Demo)等多个版本,各版本的功能和价格有着明显的差异。
Multisim 11.0的安装方法图文解

Multisim 11.0的安装方法图文解
415工作组
运行“Multisim11.0注册机”步骤如下:
1、打开压缩包。
双击“Keygenfull.exe”出现:
点击“Generate”后,出现:
点击“Copy”x
打开安装文件夹。
双击NI-Circuit-Design-Suite _11.0.exe
弹出对话窗,大意是,在安装此软件需要先生成一个文件,这个文件只在安装时用,以后还可移除点,点“确定”。
弹出对话窗:
点“Browse”选择生成的文件的保存路径后点“unzip”
生成文件后弹出对话窗:
点“确定”后,出现对话窗:
点击“Install NI Circuit Design Suite 11.0”后出现:
进度条结束后,出现:
在窗口中点右键后“粘贴”,点“Next”后出现:
点“Next”出现:
点“Next”后,出现:
选“I accept the License Agreement”点“Next”后,出现:
,
点“Next”后,出现:
取消单选框的对号:
点“Next”后:
点“Next”后,出现:
点“Finish”
点“Restart”,重启电脑,即可。
Multisim 10.0安装+汉化教程

Multisim 10.0安装+汉化教程一.安装教程第一步:全部解压到一个文件夹第二步:打开解压缩文件夹,点击setup.exe,运行,不要点击任何键.同时打开Crack文件夹下注册机1文件夹内的注册机.exe.如下图所示。
第三步.点击左边Generate,框内生成一个序列号,再点右边Copy,复制。
第四步.将其粘贴到第一个打开的setup.exe中。
然后next,默认安装路径后,到如下状态:第五步.点击左上Support and Upgrade Utility前面的图标,选择第二个,点击Next。
如下:第六步.连续点击两次I accept 与Next 后,进行安装~第七步.安装完后会出如下框.注意:此为是否重启计算机,请点击叉号,关掉框即可~第八步.在Crack文件夹下打开注册机2文件夹内的Circuit Design Suite v10 KeyGen.exe应用程序。
如下:输入2,点回车,生成俩个.lic文件。
如图:第九步.将文件载入到NI许可证管理器内。
步骤如下:在开始——程序——National Instruments——NI License Manger,运行。
点击选项——安装许可证文件。
出如下框:在我的电脑里Crack文件夹——注册机2文件内找到刚才生成的两个.lic格式的文件,点击SHIFT同时打开两个文件。
出两次框图,问是否覆盖,均选择是。
关闭NI许可证管理器,安装程序结束。
二.汉化教程第一步.在Crack文件夹下汉化说明内找到ZH文件,右击复制。
粘贴在\Program Files\National Instruments\Circuit Design Suite 10.0\stringfiles文件夹下,之前有三个自带的语言,为日语,英语,德语。
如下图:如果之前安装没有更改路径,均在C盘。
第二步.打开开始——程序——National Instruments——Circuit Design Suite 10.0——Multisim.在菜单栏点击Options中的第一个选项Global Preferences,如下图:点击第四个General,在最下面找到Language找到ZH,点击OK,再点确定。
Multisim10.0.1详细的安装+破解+汉化全过程

Multisim10.0.1详细的安装+破解+汉化全过程Multisim 10.0.1详细的安装+破解+汉化全过程Multisim是美国国家仪器(NI)有限公司推出的⼀款优秀的仿真⼯具,适⽤于板级的模拟/数字电路板的设计⼯作。
它包含了电路原理图的图形输⼊、电路硬件描述语⾔输⼊⽅式,具有丰富的仿真分析能⼒。
《数字电⼦技术》⼀书就是以Mulitisim作为教材⼯具,其强⼤的功能被⼴⼤⽼师、同学和⾃由爱好者所喜爱,所以本⼈决定在此做个教程以共⼤家学习参考之⽤。
⼀、安装1、⾸先解压安装包:点右键,选择解压到“[虚拟电⼦实验室10.0].NI.Multisim.V10.0.1.汉化破解版”然后打开解压后的⽂件夹“[虚拟电⼦实验室10.0].NI.Multisim.V10.0.1.汉化破解版”,双击“setup”应⽤程序出现安装界⾯,选择下⾯的选项“Install this product for evaluation”,试⽤的意思:点击“Next”把安装⽬录C:\Program Files\National Instruments\改造D:\Program Files\National Instruments\“Next”为了避免升级,点击那个Support and Upgrade Utility前⾯的下标箭头把选项X掉如下图“Next”“Next”“Next”——“Next”经过⼀会⼉以后“Finish”选择Restart Later(稍后重启)这样安装已经完成了,点击“开始”——“所有程序”找到“National Instruments”——“Circuit Design Suite 10.0”——“ Multisim”——右键“发送到(N)”——“桌⾯快捷⽅式”如下图:这样安装就全部完成了,接下来就是汉化和破解了。
⼆、破解1、未破解时,试⽤30天后就不能⽤了2、打开“注册机1[⽤注册序列号]”运⾏“multisim11注册机.exe”直接点击下边的:“Create license file...”点击“我的电脑”按照上图的路径(X为D盘),到达上图下⾯标的的路径后,按照名字点击那个⽂件然后“保存”会⽣成⼀个“**.lic”格式⽂件,覆盖掉原⽂件,如此逐⼀完成五个⽂件的覆盖。
Multisim11安装步骤

Multisim11.0安装步骤一、安装1.解压NI_Circuit_Design_Suite_11_0.exe文件。
建立一个临时文件夹,注意,其路径不能包含汉字,如D:\ temp_NI_Circuit_Design_Suite_11_0。
2.解压完成后出现图1选项卡,选择“NI Circuit Design Suite 11.0”。
图1 安装选项卡3.出现图2安装模式选择卡时,单击“Next”;图2 安装模式选择卡4.出现图3序列号选择卡时,单击“否”;图3 序列号选择卡5.安装路径选择,如图4所示。
图4 安装路径选择6.接下来路选择“Next”或“I accept License Agreement”。
7.出现图5重新启动时,选择“Restart”。
安装结束。
图5 重启选择二、激活:1.运行Multisim11.0汉化+破解过程.rar中的“multisim11注册机.exe”,弹出图6对话框。
图6 激活选择2.选择“Multisim Pro Full Edition”,单击“Generate”,生成serial number:“L11Y71906”,单击“Create License File”控件生成注册文件“full_License_11.0.lic”保存到:D:\ temp_NI_Circuit_Design_Suite_11_0中。
3.开始—>所有程序—>National Instruments—>NI License Manage,弹出许可证管理器,如图7所示。
4.将D:\ temp_NI_Circuit_Design_Suite_11_0中保存的注册文件“full_License_11.0.lic”拖拽到图7“Full Edition”中即可完成注册(激活)。
5.安装完毕。
图7 许可证管理器图8 注册文件三、汉化1.将Multisim11.0汉化+破解过程.rar中的汉化文件ZH解压到D:\temp_NI_Circuit_Design_Suite_11_0中,再将ZH文件夹放到目录“\Program Files\National Instruments\Circuit Design Suite 11.0\stringfiles”目录下。
NI_Circuit_Design_Suite_11_安装方法

NI Circuit Design Suite11.0安装教程电子电路仿真软件Multisim11.0和Ultiboard11.0是NI Circuit Design Suite11.0中的一部分。
相对于以往的版本,它的元件数量大幅提高,功能也更出色。
本文将介绍该软件的安装方法。
本文演示在windows7系统下安装。
NI Circuit Design Suite11.0有许多版本,如基础版、教育版和功能增强版;本文介绍的是功能增强版(Power Pro Edition)的安装方法。
对于学生,购买正版软件,在经费上可能难以承受;所以本文也提供了软件破解方法。
不过,在此还是建议大家购买正版NI Circuit Design Suite软件套装,以获得来自美国国家仪器有限公司的有效的技术支持。
美国国家仪器有限公司网址:*第一步运用注册机生成序列号及许可证文件首先,打开注册机,如右图显示;之后,点击“Generate”,生成序列号(serial number);序列号与接下来生成的许可证文件不相关,仅用于安装。
也就是说,即使接下来选择了30天试用安装,你也可以通过许可证文件获得正式使用权限。
安装完成后,生成许可证文件。
选定“Multisim Power Pro Edition”,如右图所示;点击“Create licensefile...”,即选择生成的许可证文件存放位置,在对话框中选择文件存储位置,任意输入文件名,点击确定,即可生成一个拓展名为“.lic”的许可证文件;依次选定其它选项,重复上述操作,即可生成全部6个许可证文件。
许可证文件使用方法见第五步。
好了,至此注册机使用完毕;购买正版软件的客户可以免于使用注册机。
在此仍鼓励大家使用正版软件。
第二步解压安装文件包正版安装文件是一个自解压的压缩文件包(方框中图标):双击该文件,会出现自解压对话框,你也可以用WinRAR解压此压缩包:认真阅读此说明,点击“确定”,出现以下对话框:解压出来的文件放在上图“Unzip to folder:”所指示的路径下。
虚拟电子实验室的安装破解汉化图解

虚拟电子实验室Multisim.V10.0的安装方法
(其他版本的也相似)
一.打开文件夹
二.执行setup.exe
安装序列号:T31T38768 也可用注册机1生成,点击next
安装路径可以自由选择,点击next
一般不选第一项:
安装完成。
四.程序破解。
打开Crack中的
保留删除其他文件运行
依次输入1,23,4,5,6,7,也可只输入5,对于学生使用的话就够了。
点击回车生成两个文件
四.运行:开始-》所有程序-》National Instruments-》NI License Manager(NI许可证管理器)-》选项-》安装许可证文件。
将注册机生成的文件拖至管理器中
五.汉化
将ZH文件夹放到目录下\Program Files\National Instruments\Circuit Design Suite
10.0\stringfiles
运行程序,点击:
选择:
点击ok,全部完成。
Multisim10.0安装汉化教程[整理]
![Multisim10.0安装汉化教程[整理]](https://img.taocdn.com/s3/m/dcc8fdfc541810a6f524ccbff121dd36a32dc48c.png)
Multisim 10.0安装+汉化教程一.安装教程第一步:全部解压到一个文件夹第二步:打开解压缩文件夹,点击setup.exe,运行,不要点击任何键.同时打开Crack文件夹下注册机1文件夹内的注册机.exe.如下图所示。
第四步.将其粘贴到第一个打开的setup.exe中。
然后next,默认安装路径后,到如下状态:第五步.点击左上Support and Upgrade Utility前面的图标,选择第二个,点击Next。
如下:第六步.连续点击两次I accept 与Next 后,进行安装~第七步.安装完后会出如下框.注意:此为是否重启计算机,请点击叉号,关掉框即可~第八步.在Crack文件夹下打开注册机2文件夹内的Circuit Design Suite v10 KeyGen.exe应用程序。
如下:输入2,点回车,生成俩个.lic文件。
如图:第九步.将文件载入到NI许可证管理器内。
步骤如下:在开始——程序——National Instruments——NI License Manger,运行。
点击选项——安装许可证文件。
出如下框:在我的电脑里Crack文件夹——注册机2文件内找到刚才生成的两个.lic格式的文件,点击SHIFT同时打开两个文件。
出两次框图,问是否覆盖,均选择是。
关闭NI许可证管理器,安装程序结束。
二.汉化教程第一步.在Crack文件夹下汉化说明内找到ZH文件,右击复制。
粘贴在\Program Files\National Instruments\Circuit Design Suite 10.0\stringfiles文件夹下,之前有三个自带的语言,为日语,英语,德语。
如下图:如果之前安装没有更改路径,均在C盘。
第二步.打开开始——程序——National Instruments——Circuit Design Suite 10.0——Multisim.在菜单栏点击Options中的第一个选项Global Preferences,如下图:点击第四个General,在最下面找到Language找到ZH,点击O K,再点确定。
Multisim10.0安装汉化教程

Multis i m 10.0安装+汉化教程一.安装教程第一步:全部解压到一个文件夹第二步:打开解压缩文件夹,点击setu p.exe,运行,不要点击任何键.同时打开Crack文件夹下注册机1文件夹内的注册机.exe.如下图所示。
第四步.将其粘贴到第一个打开的setup.exe中。
然后next,默认安装路径后,到如下状态:第五步.点击左上Su pport and Upgrad e Utilit y前面的图标,选择第二个,点击Next。
如下:第七步.安装完后会出如下框.注意:此为是否重启计算机,请点击叉号,关掉框即可~第八步.在Crack文件夹下打开注册机2文件夹内的Ci rcui t Design Suitev10 KeyGen.exe应用程序。
如下:输入2,点回车,生成俩个.lic文件。
如图:第九步.将文件载入到N I许可证管理器内。
步骤如下:在开始——程序——Nation al Instru ments——NI License Manger,运行。
点击选项——安装许可证文件。
出如下框:在我的电脑里C rack文件夹——注册机2文件内找到刚才生成的两个 .lic格式的文件,点击SHIF T同时打开两个文件。
出两次框图,问是否覆盖,均选择是。
关闭NI许可证管理器,安装程序结束。
二.汉化教程第一步.在Crack文件夹下汉化说明内找到ZH文件,右击复制。
粘贴在\Progra m Files\Nation al Instru ments\Circui t Design Suite10.0\string files文件夹下,之前有三个自带的语言,为日语,英语,德语。
如下图:如果之前安装没有更改路径,均在C盘。
第二步.打开开始——程序——Nation al Instru ments——Circui t Design Suite10.0——Multisim.在菜单栏点击Opti ons中的第一个选项Global Preferences,如下图:点击第四个G e nera l,在最下面找到L angu age找到ZH,点击OK,再点确定。
multisim11安装和破解(已是汉化版,不需再汉化)

一.解压后安装即可。
选择以下安装方法之一就行。
1.可以选择“命令行示例”中的“静默安装”,选择静默安装后等待几秒,等弹出的黑框消失后就代表安装完成。
2.也可以选择快速安装,快速安装和其他软件一样,不多说了。
安装完后就已经是汉化版的了。
二.破解
1.安装完后,先不要运行multisim,如果你运行了的话请关掉。
2.还是在那个文件夹,点开keygen中的Circuit Design Suite 11.0 Keygen.exe(传说中的注册机)
3.点开注册机后,出现这个
4.选择你要装的版本,然后点出来一个序列号,别管它,再点
,把产生出来的lic文件,自己命名后放在非系统盘(非常重要。
千
万别放在c盘系统盘,可以放在d、e、f各种盘)的一个区域(用完后可以删掉它,别担心)。
5.然后在开始菜单中,程序找到点许可证管理器
6.打开后出现
7.然后点“选项”“安装许可证文件”,把你刚才生成的lic文件调出来就可以了。
激活所有版本都是按这个流程操作。
8.祝你破解成功。
ModelSim11.0 教程

1.选中该界面下 EDA Tool settings 中的 Simulation 一项;在 NativeLink settings 中选 择 Compile test bench 并点击后面的 Test Benches
在 Test Benches 中点击 New 见下图: 在 Test bench name 栏要填写刚刚我们创建的 testbench 文件的实体名 即 modelsim_test_vlg_tst; 在 Top level modele in test bench 中也填写 modelsim_test_vlg_tst; 在 Design instance name in test bench 中 i1 这里你可以直接从 testbench 的文件里直接复制过来,避免手误写错。
3.Verilog Testbench 信号记录的系统任务: 1). SHM 数据库可以记录在设计仿真过程中信号的变化. 它只在 probes 有效的时间内记录你 set probe on 的信号的变化. ex). $shm_open("waves.shm"); //打开波形数据库 $shm_probe(top, "AS"); // set probe on "top", 第二个参数: A -- signals of the specific scrope S -- Ports of the specified scope and below, excluding library cells C -- Ports of the specified scope and below, including library cells AS -- Signals of the specified scope and below, excluding library cells AC -- Signals of the specified scope and below, including library cells 还有一个 M ,表示当前 scope 的 memories, 可以跟上面的结合使用, "AM" "AMS" "AMC" 什么都不加表示当前 scope 的 ports; $shm_close //关闭数据库 2). VCD 数据库也可以记录在设计仿真过程中信号的变化. 它只记录你选择的信号的变化. ex). $dumpfile("filename"); //打开数据库 $dumpvars(1, top.u1); //scope = top.u1, depth = 1 第一个参数表示深度, 为 0 时记录所有深度; 第二个参数表示 scope,省略时表当前的 scope. $dumpvars; //depth = all scope = all $dumpvars(0); //depth = all scope = current $dumpvars(1, top.u1); //depth = 1 scope = top.u1 $dumpoff //暂停记录数据改变,信号变化不写入库文件中 $dumpon //重新恢复记录 3). Debussy fsdb 数据库也可以记录信号的变化,它的优势是可以跟 debussy 结合,方便调试. 如果要在 ncverilog 仿真时,记录信号, 首先要设置 debussy:
Multisim11.0安装破解汉化完全详解教程

安装破解完全详解教程一、已经安装过MultisimS1如果您进行了非标准的卸载(如直接删除等等)或在安装完成后提示“Error2:Failed to perform action NI Update Service!”,请继续看S2,否则,请看“二、从未安装过Multisim”S2根据以下路径找到“”文件并运行路径:(X表示盘符)X:\Program Files\National Instruments\Shared\NIUninstaller运行后选中右边三项,再选择右边的“删除”选项即可,如下S3卸载完成后看“二、从未安装过Multisim”二、从未安装过MultisimS1双击中的文件运行结果如下:S2打开注册机并点击“Generate”生成序列号点击“Copy”,粘贴到“Serial Number”,不要关闭注册机!如下点击“Next”,之后的步骤除选择安装路径外,一切正常进行S3用注册机生成License文件路径为:X:\Program Files\National Instruments\Shared\License Manager打开命名帮助,找到对应名字并粘贴其它几个类似。
S4安装许可证书文件开始——所有程序——National Instruments——NI License Manager(若没有,说明安装有误,请仔细参照本教程重装)打开后,界面如下,点击“选项”——“安装许可证书文件”安装结束!三、汉化S1找到安装包里的“ZH”文件,复制到以下路径的stringfiles文件夹下X:\Program Files\National Instruments\Circuit Design Suite \stringfiles(X为Multisim的安装的磁盘)S2再运行Multisim:开始——所有程序——National Instruments ——Circuit Design Suite ——Multisim (右击可发送到桌面快捷方式)选择菜单Options\Gobal Preferences如下:重新启动Multisim即可。
multisim11.0安装+破解+汉化教程

一、安装1、解压并运行Setup.exe2、然后会出现这个界面运行multisim11注册机.exe3、点击Generate再点击Copy再回到安装界面将serial number粘贴到相应文本框中再单击下一步根据自己的需要选择安装目录,默认的也行然后一路下一步就可以了,中途可能出现提示重启的情况,只要根据提示重启就可以了。
二、激活安装完成后就到了激动人心的激活环节1、打开NI许可证管理器Win7系统:单击开始 --> 所有程序 --> National Instruments -->NI License ManagerWin8系统:也在开始菜单中,仔细找一下就能找到2、打开后是这个界面:创建许可证文件选择好要保存到的位置(比如桌面),随便填个文件名,单击“保存”,出现提示框,点击“确定”即可。
4、回到NI许可证管理器的界面,点击“选项”—>“安装许可证文件”找到您刚才保存的许可证文件并打开成功激活后,相应产品会变成绿色。
全部产品都激活后是这样,(也可以只激活自己需要的产品)激活完成后就可以放心的使用了开始-->所有程序—> National Instruments--> Circuit Design Suite11.0—>Multisim 11.0三、汉化:本人觉得英文版用起来挺好的,不过喜欢汉化版的小伙伴可以按此方法汉化。
1、找到安装包里的“ZH”文件,复制到安装目录的stringfiles文件夹下(我的是在D:\Program Files (x86)\National Instruments\Circuit Design Suite 11.0\stringfiles)2、运行Multisim:开始-->所有程序-->National Instruments--> Circuit Design Suite 11.0-->Multisim 11.03、选择菜单Options\Gobal Preferences如下:4、重新启动Multisim即可。
NI_Multisim11下载_-破解-安装-汉化-详细过程

我用过了可以的免责声明:软件收集破解汉化均来源于网络!!仅供学生学习使用!!!整理by teacher peng of scau1.先下载最新版本multisim11:ftp:///evaluation/EWB/NI_Circuit_Des ign_Suite_11_0.exe;2.下载破解文件:multisim11注册机(自己百度)3.下载汉化包文件夹ZH:(自己百度)一、产生注册码及许可证文件1、运行注册机,点击窗口中的Generate,出现serial number如:2、“Copy”serial number:E79P64468,记录下serial number,比如粘贴在记事本中。
3、产生许可证文件:点击Create License file....依次选择Multisim Power Pro Edition和Ultiboard Power Pro Edition,分别产生用于Multisim和Ultiboard注册的license文件,文件命名没有要求,随意。
(注意: license文件不可放在中文文件夹下,包括上级文件夹均不可为中文,最简单直接放在D:\根目录下。
还要给文件命名)二、安装:点击setup.exe进行安装,出现:输入Serial Number一路点Next就是了!如果安装出现错误,可重新安装!!!!选择Restart Later,OK,程序安装完成!三、安装许可证文件打开NI License Manager,打开选项 安装许可证文件找到刚才生成的2个许可证文件,全部安装(可以一次性全部选择安装)点击“打开”即OK!关闭NI License Manager。
四、汉化:(用汉化包解压后附件外面的注册机,里面的时10.0版的)把汉化文件夹中的“ZH”放到\Program Files\National Instruments\Circuit Design Suite 11.0\stringfiles目录下主要是红色部分,前一部分应该是安装时自己选择的,若不选择则系统自动生成与上面一样的文件再运行Multisim 11.0选择菜单Options\Gobal Preferences点General中的languange (见图)选语言english是英文ZH是中文。
Multisim 10.0安装+汉化教程

Multisim 10.0安装+汉化教程一.安装教程第一步:全部解压到一个文件夹第二步:打开解压缩文件夹,点击setup.exe,运行,不要点击任何键.同时打开Crack文件夹下注册机1文件夹内的注册机.exe.如下图所示。
第四步.将其粘贴到第一个打开的setup.exe中。
然后next,默认安装路径后,到如下状态:第五步.点击左上Support and Upgrade Utility前面的图标,选择第二个,点击Next。
如下:第七步.安装完后会出如下框.注意:此为是否重启计算机,请点击叉号,关掉框即可~第八步.在Crack文件夹下打开注册机2文件夹内的Circuit Design Suite v10 KeyGen.exe应用程序。
如下:输入2,点回车,生成俩个.lic文件。
如图:第九步.将文件载入到NI许可证管理器内。
步骤如下:在开始——程序——National Instruments——NI License Manger,运行。
点击选项——安装许可证文件。
出如下框:在我的电脑里Crack文件夹——注册机2文件内找到刚才生成的两个.lic格式的文件,点击SHIFT同时打开两个文件。
出两次框图,问是否覆盖,均选择是。
关闭NI许可证管理器,安装程序结束。
二.汉化教程第一步.在Crack文件夹下汉化说明内找到ZH文件,右击复制。
粘贴在\Program Files\National Instruments\Circuit Design Suite 10.0\stringfiles文件夹下,之前有三个自带的语言,为日语,英语,德语。
如下图:如果之前安装没有更改路径,均在C盘。
第二步.打开开始——程序——National Instruments——Circuit Design Suite 10.0——Multisim.在菜单栏点击Options中的第一个选项Global Preferences,如下图:点击第四个General,在最下面找到Language找到ZH,点击OK,再点确定。
安装破解汉化MultiSim10

使用说明导航注意先自行看附带的说明如果不理解就参考下面的方法1.安装Multisim 10.0 汉化破解版2.如何获得你的许可证3.注册破解Multisim10.0步骤4.汉化Multisim10.0 步骤切记使用Multisim10.0时候一定得断开网络或者使用防火墙禁止它访问网络Win7用户请以管理员身份进行安装和注册安装Multisim 10.0 汉化破解版下载后得到[虚拟电子实验室10.0].NI.Multisim.V10.0.1.汉化破解版.rar双击它看到下面这个界面双击里面的Setup.exe你会看到这个界面慢慢等待它不久就会出现下面这个界面等一会接着出现这里需要填写序列号,输入下面的序列号其中一个(一行一个)N16F57554R64X11784E83Y37282F10H78607Y55W08311N12A30748U06C13015或者可以使用里面的Crack 文件夹的Crack-> 注册机1[用注册序列号] -> 注册机.exe先按Generate 生成序列号在按Copy 拷贝到剪贴板回来窗口粘贴接着效果如下图按Next >>下一步见下面的窗口,设置安装目录再按Next >>下一步见下面的窗口再按Next >>下一步见下面的窗口选择然后按Next >>下一步见下面的窗口同样选择然后按Next >>下一步见下面的窗口按Next >>下一步见下面的窗口进入了安装拷贝界面耐心等待它工作完毕自动出现这个界面勾掉按下Finish出现按下Restart重新启动电脑如何获得你的许可证先关掉杀毒软件或者停用它们双击[虚拟电子实验室10.0].NI.Multisim.V10.0.1.汉化破解版.rar打开安装包进入Crack>> 注册机2[用于生成许可文件]>> Circuit Design Suite v10 KeyGen.exe 选择Circuit Design Suite v10 KeyGen.exe 然后点击释放到打开释放路径和选项窗口点击选择桌面按下确定来到桌面找到Multisim10.1注册机+汉化+下载地址文件夹双击进入出现双击注册机2[用于生成许可文件]进入文件夹双击Circuit Design Suite v10 KeyGen 弹出下面的窗口输入1 再按键盘上的Enter键确定产生两个许可证文件完成注册破解Multisim10.0步骤从开始进入所有程序找到National Instruments今日找到NI License Manager点击打开出现NI 许可证管理器选择菜单选项(O) 的安装许可证文件(I)…. F8出现许可证选择界面双击Multisim10.1注册机+汉化+下载地址进入文件夹双击注册机2[用于生成许可文件] 进入文件夹拖动选择两个许可证接着按打开如果出现如下覆盖确认界面选择是(Y) 两次退出许可证管理器如果打开已经是破解版的可以正常使用全部功能接下来汉化步骤汉化Multisim10.0 步骤打开程序安装目录的\Program files\National Instruments\Circuit Design Suite 10.0\stringfiles打开我的电脑在地址栏输入C:\Program files\National Instruments\Circuit Design Suite 10.0\stringfiles 回车确认打开界面双击Multisim10.1注册机+汉化+下载地址.rar打开安装包进入Multisim10.1注册机+汉化+下载地址>> 汉化说明解压后将ZH文件夹放到目录下\Program Files\National Instruments\Circuit Design Suite 10.0\stringfiles再运行Multisim10 选择菜单Options\Gobal Preferences点General中的languange (见图)选语言english是英文ZH是中文。
AMESim.Rev.11详细安装步骤—王权

1.运行安装包目录里面的setup.bat如下图,运行setup.bat后会出现下面的界面,然后点击next,2.然后点击I accept the terms of the license agreement,继续next3.继续next4.输入user name(随便填),输入company(随便填),然后就是Activation key 在安装包MAGNiTUDE文件夹中的install里:5BIL4 QQWAT W3IZ7 K7PB0 XCL36(全部复制粘贴进去就可以),接着确定MAGNiTUDE文件夹中的LMS_INTL.LIC是在英文路径(不理解就直接把LMS_INTL.LIC复制到C盘或D盘或E盘)里,然后点Browse找到LMS_INTL.LIC,最后点第一个LMS_INTL如下图,点Next。
5.继续next6.选择安装目录(最好直接选择默认),安装目录必须是全英文路径,继续next7.继续next8.开始安装,等待7~8分钟左右9.继续next10 .继续next(最下面可以设置工作目录,如无特殊需要,就不用改了)11.点击Finish,重启电脑安装完成重启后,先别急着运行软件,因为还没破解,你是打不开软件的,下面开始破解。
12.将安装包中LicensingTools文件夹中的所有东西全部复制到安装目录C:\AMEsim\v1000\licensing(不一定是这个路径,主要看第6步的安装目录)里,将原来的文件给替换掉(必须是全部copy过去)出现下面情况时,直接点是,然后在下面方框中打勾出现下面情况时,点复制和替换,然后在下面方框中打勾若提示操作不能完成如下图(一般之前安过的才会出现这种情况),则通过ctrl+alt+del调出任务管理器,关闭与AMESim相关的进程,如没有相关进程(那就是服务里的证书正在运行)就直接点跳过。
13.双击安装目录C:\AMEsim\v1000\licensing下的lmtools,点Config service 项,Service name 可默认不改或自己填写(必须是英文字母)。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
Multisim是美国国家仪器(NI)有限公司推出的一款优秀的仿真工具,适用于板级的模拟/数字电路板的设计工作。
它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。
《数字电子技术》一书就是以Mulitisim作为教材工具,其强大的功能被广大老师、同学和自由爱好者所喜爱,所以本人决定在此做个教程以共大家学习参考之用。
(文末附有下载)
一、安装
1、双击应用程序(379.35MB的那个)首先会出现如下窗口,确定即可。
2、确定后会出现如下窗口,说白了,就是个解压缩过程
3、选择第一项,然后解压缩后紧接着会出现如下窗口,仍选择第一项
4、然后选择“Install this product for evaluation”,试用的意思
5、接下来就按照提示一路狂Next就行,然后重启就行了
这样安装就算完成了,接下来就是汉化和破解了。
二、汉化
1、将ZH文件夹放到目录“...\Program Files\National Instruments\Circuit Design Suite 11.0\stringfiles”下。
记住,不是目录“X:\National Instruments Downloads”,这个文件是你安装时第二步解压缩后的文件,安装完后就可以删掉了。
(好多朋友在这里犯错误)
2、再运行Multisim11,菜单里边的:Options\Gobal Preferences\convention\language\ZH(参考图片)
此时汉化任务已经完成
汉化说明:这是Multisim10.0的汉化,未完全汉化,但是已经够用了
三、破解
1、未破解时会出现如下窗口,试用30天后就不能用了
2、运行“multisim11注册机.exe”,直接点击下边的:Create license file...会生成一个“**.lic”格式文件
3、开始—>所有程序—>National Instruments—>NI License Manager->选项->安装许可文件,如下图,放入即可
完成上述过程后,软件就已经激活了。
四、后语
1、Multisim 11.0目前为最新版本。
2、另附Multisim 11.0下载地址:ftp:///evaluation/EWB/NI_Circuit_Design_Suite_11_0.exe(379.35MB)
3、Multisim11.0_汉化+破解的下载地址:/file/f3e26af6bb
4、Multisim 11.0对win7和Vista具有良好的支持,对XP却有时会出现不同的问题。
我装了大概6、7台的Win7系统和一台Vista的机子,安装、汉化、破解全都未出现任何问题。
但是装了大概4、5台XP的机器,部分安装失败,全部破解失败。
对于XP的用户可以安装Multisim 10.0版本,不会出现问题。
5、注:本文为原创,转载请声明出处:/s/blog_5fede45a0100j81q.html。