eda实验PPT课件

合集下载

EDA课件第三章

EDA课件第三章

tmp2:=d1 AND (NOT sel); tmp3:=tmp1 OR tmp2; tmp<=tmp3;
q<=tmp AFTER m; END PROCESS cale;
END ARCHITECTURE connect;
(2) 端口方向
端口方向用来定义外部引脚的信号方向是输入还 是输出。
凡是用“IN”进行方向说明的端口,其信号自端口 输入到构造体,而构造体内部的信号不能从该端口输 出。相反,凡是用“OUT”进行方向说明的端口,其信 号将从构造体内经端口输出,而不能通过该端口向构 造体输入信号。
实体说明
一个模块中仅有一 个设计实体。
实体 提供设计模块的接口信息,是VHDL设计电 路的最基本部分。
实体说明具有如下的结构:
ENTITY 实体名 IS
实体说明以“ENTITTY 实体名
[类属参数说明];
IS”开始至“END ENTITTY实体 名”结束。这里大写字母表示
实体说明的框架。实际上,对
此例中的外部引脚
ARCHITECTURE connect OF mux IS SIGNAL tmp:BIT; BEGIN
cale:PROCESS(d0,d1,sel) IS VARIABLE tmp1,tmp2,tmp3:BIT;
BEGIN tmp1:=d0 AND sel;
为d0,d1,sel,q 。
库(Library)是经编译后的数据的集合,它存放包集合 定义、实体定义、构造体定义和配置定义。
设计中的子程序和 公用数据类型的集合。
程序包
IEEE标准的标准程序包 设计者自身设计的程序包
包和库具有这样的关系:多个过程和函数汇集在一起构成包 集合,而几个包汇集在一起就形成一个库。

集成电路EDA与验证技术课件:模拟集成电路设计与仿真

集成电路EDA与验证技术课件:模拟集成电路设计与仿真

模拟集成电路设计与仿真
常用命令格式: (1) DEFINE 格式:DEFINE <库名> <库路径> 例: DEFINE sample /export/cadence/IC615USER5/tools.lnx86/dfII/samples/cdslib/sa mple (2) INCLUDE 格式:INCLUDE <另外一个cds.lib 的全路径>
模拟集成电路设计与仿真
图3.2 Spectre中包含的各种仿真器
模拟集成电路设计与仿真
2.精确的晶体管模型 Spectre为所有的仿真器提供一致的器件模型,这有利于 消除不同模型间的相关性,从而得到快速收敛的仿真结果。 模型的一致性也保证了器件模型在升级时可以同时应用于所 有的仿真器。 3.高效的程序语言和网表支持 Spectre仿真平台支持多种设计提取方法,并兼容绝大多 数SPICE输入平台。Spectre可以读取Spectre、SPICE以及 Verilog-A格式的器件模型,并支持标准的Verilog-AMS、 VHDL-AMS、Verilog-A、Verilog以及VHDL格式的文本输 入。
模拟集成电路设计与仿真
5.有力衔接了版图设计平台 对于完整的版图设计平台而言,Spectre是不可或缺的重 要环节,它能方便地利用提取的寄生元件参数来快速完成后 仿真(post-layout simulation)的模拟,并与前仿真(pre-layout simulation)的模拟结果作比较,紧密的连接了电路 (Schematic)和版图(layout)的设计。 6.交互的仿真模式 设计者可以在仿真过程中快速改变参数,并在不断调整 参数和模拟之中找到最佳的电路设计结果,减少电路设计者 模拟所花费的时间。

EDA技术实验PPT课件

EDA技术实验PPT课件

计软件,它基于Windows操作系统,支持多种模块
如何选择使用FPGA / CPLD
对于普通规模,且产量不是很大的产品项目,通常使用CPLD比 较好,因为在中小规模范围中,CPLD的价格较便宜,使用方便。 由于各系列的CPLD的逻辑规模覆盖面属中小规模(1000门至5 万门),可选范围宽,上市速度快,市场风险小。 目前最常用的ISP器件是 CPLD 器件,编程方式极为便捷,且 有良好的器件加密功能。Lattice公司所有的ispLSI系列、Altera 公司的MAX系列、Xinlin公司的XC9500系列属此类。
二、在系统编程器件(ISP)
1、在系统编程技术 是对预先装在用户目标电路系统板上的在系统 编程器件(目标芯片)进行编程,编程后可直接使 用的一门技术。
2、“在系统可编程器件”的特 点: 2、如果要使器件实现其它逻辑功能,只须重新编程。 3、由于该目标芯片可以容纳非常复杂的数字电路系统, 外围仅需配很简单的输入、输出电路。
1、可以方便地反复编程,无须编程器和专门的擦除动作。
外围电路:传感器电路、放大电路、滤波电路、 A/D转换电路、电平移位电路、D / A转换电路、显示 电路等。
3、 “在系统可编程器件”的优点: 用户目标系统板上采用了这种目标芯片极大地简 化了电路结构;提高了电路的可靠性;延长了电路的 使用寿命;同时使电路板的体积功耗减小和重量减轻, 为设计人员把设想转为现实提供了极大方便,该技术 是一项非常实用的技术,作为电子、通信、电气、自 动化和计算机专业学生应该掌握。
三、高密度ISP器件 FPGA现场可编程门阵列
高密度ISP器件
CPLD复杂可编程逻辑器件
FPGA / CPLD
区 别
FPGA:其逻辑块及内部互连信息都是通过芯片内部的存储器单元阵列完成 的,这些存储器单元阵列可由配置程序装入,存储器单元阵列采用 SRAM方式, 配置信息采用SRAM方式保存,系统掉电后,配置的信息会丢失,这类器件下 载:配置。 为使用方便,必要时应配掉电保护装置、掉电保护器件, 如:EPC1441PC8、EPC2LC20、单片机+存储器 等。 Altera公司的ACEX、FLEX系列器件属FPGA类。 CPLD:编程信息以EEPROM方式保存,下载为编程,掉电后信息不丢失, 无需专门的掉电保护装置, Lattice公司的所有系列和Altera公司的MAX系列器件属CPLD类。 FPGA/CPLD的其它区别: FPGA的信息容量可以做得比CPLD大; FPGA的工作频率可以做得比CPLD高; 理论上 FPGA可下载配置无数次,而CPLD则下载编程有限次。

EDA技术概述PPT课件

EDA技术概述PPT课件
现代电子设计技术的核心已日趋转向基于计算机的电子设计自动 化技术 EDA(Electronic Design Automation)技术。
20世纪70年代
EDA技术雏形
20世纪80年代
EDA技术基础形成
20世纪90年代
EDA技术成熟和实用
2021/4/7
5
第5页/共46页
EDA技术发展分为三个阶段 :
2021/4/7
21
第21页/共46页
1.4 EDA技术的优势
1.保证设计过程的正确性,大大降低设计成本,缩短设计周期。
2.有各类库的支持。
3.极大地简化设计文档的管理。
4.日益强大的逻辑设计仿真测试技术。
5.设计者拥有完全的自主权,再无受制于人之虞。
6.良好的可移植与可测试性,为系统开发提供了可靠的保证。
使得产品设计效率大幅度提高。
2021/4/7
14
第14页/共46页
2.半定制或全定制ASIC
1)全定制-----芯片完全由厂家按特定 电路功能制造
设计人员从晶体管的版 图尺寸、位置和互连线 开始设计,以达到芯片面 积利用率高、速度快、 功耗低的最优性能
优点:
性能最佳 物理成本最低
可模数混合 设计成本大
2021/4/7
1
第1页/共46页
1.1 电子设计自动化技术及其发展
EDA技术的涵义 广义的EDA技术、狭义EDA技术
广义定义: 以计算机硬件和系统软件为基本工作平台,继承和借
鉴前人在电路和系统、数据库、图形学、图论和拓扑逻辑、 计算数学、优化理论等多学科的最新科技成果而研制的商品 化EDA通用支撑软件和应用软件包。 广义的EDA技术 : 1)计算机辅助分析CAA( 如PSPICE EWB MATLAB等)

《EDA实验开发系统》课件

《EDA实验开发系统》课件
《EDA实验开发系统》PPT 课件
目录
• EDA实验开发系统概述 • EDA实验开发系统硬件架构 • EDA实验开发系统软件功能 • EDA实验开发系统实验案例
目录
• EDA实验开发系统常见问题与解决方 案
• EDA实验开发系统未来展望与研究方 向
01
EDA实验开发系统概述
系统定义与特点
总结词
探索5G和物联网技术在EDA实验开发系 统中的应用,提升系统实时性能和远程协 作能力。
系统升级与改进建议
算法优化
持续优化EDA实验开发系统的核心算法,提高 设计效率与准确性。
可扩展性改进
增强系统的可扩展性,支持更大规模的集成电 路设计和更复杂的功能实现。
用户界面与用户体验
改进用户界面,提供更友好、直观的操作体验,降低使用门槛。
印刷电路板设计、电子系统仿真等领域。
系统的发展历程与趋势
总结词
介绍EDA实验开发系统的发展历程,以 及未来发展趋势和挑战。
VS
详细描述
EDA实验开发系统的发展历程可以追溯到 20世纪60年代,随着计算机技术的不断 发展,EDA实验开发系统的功能和性能也 在不断提升。未来,随着人工智能、云计 算等新技术的不断涌现,EDA实验开发系 统将朝着智能化、云化、自动化的方向发 展。同时,随着电子设计复杂度的不断提 高,EDA实验开发系统将面临更多的挑战 和机遇。
数字电路设计实验
01
实验一
组合逻辑电路设计
02
实验目标
掌握组合逻辑电路的基本原理 和设计方法,学会使用EDA工 具进行组合逻辑电路的设计和
仿真。
03
实验内容
设计一个简单的4位二进制加法 器,并使用EDA工具进行仿真

EDA实训课件

EDA实训课件

Electronic Design Automation
技术》 《EDA技术》 技术
二.项目要求
基本功能: 基本功能:
以时、分、秒形式显示, 二十四小时循环 具有复位功能 有时间校准和调时功能 具有整点报时功能
拓展功能: 拓展功能:
可在12/24小时制间进行切换 可外接液晶显示屏 闹钟功能 定时器功能 跑表功能 音乐报时功能
Electronic Design Automation
技术》 《EDA技术》 技术
begin if reset='0' then count<="0000"; counter<="0000"; elsif(clk'event and clk='1') then if (counter<2) then if (count=9) then count<="0000"; counter<=counter + 1; else count<=count+1; end if;
architecture behav of second is signal count : std_logic_vector(3 downto 0); signal counter : std_logic_vector(3 downto 0);
Electronic Design Automation
Electronic Design Automation
技术》 《EDA技术》 技术
else if (count=3) then count<="0000"; counter<="0000"; else

EDA第2讲PPT

EDA第2讲PPT

1、QuartusII基本设计流程

VHDL文本输入方式
建立工程库目录,以存放工程设计文档 建立新的工程 建立VHDL文本源文件(以10进制计数器为例) 工程编译
时序仿真(设置输入I,观察输出O)
RTL电路观察
Tool/RTL Viewer(非必要) 引脚锁定(重新全局编译,引脚信息才会有效) 配置文件下载(JTAG、AS、JTAG间接配置) 30

注意:Funtional仿真要事先生成功能仿真网表文件

启动仿真 Processing/Start Simulation,观察结果
9
常见仿真按钮功能说明
Waveform Editing Tool:可用来设置任意 形式输入信号。选中该按键后,在被设置 信号上,按下鼠标左键拖动,设置所需要 的输入波形。原先为高电平区域则被拖动 为低电平,原先为低电平区域则被拖动为 高电平。

Keep属性(VHDL语言中使用)
解决问题:不增加通道线的情况下,在仿真时
也能观察模块内部某些信号 原因:有些信号在逻辑综合和优化时被精减掉 或除名
用VHDL语言表 达?
49
a
s
b
LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY mux21a IS PORT (a,b,s : IN STD_LOGIC; y : OUT STD_LOGIC); END; ARCHITECTURE behave OF mux21a IS SIGNAL e,f,g : STD_LOGIC; ATTRIBUTE KEEP : BOOLEAN; inst ATTRIBUTE KEEP OF e,f,g : SIGNAL IS TRUE; y inst3 inst2 BEGIN e <= NOT s; inst1 f <= e AND a; g <= s AND b; y <= f OR g; END;

EDA学习大全PPT课件

EDA学习大全PPT课件
35
图2-12 原理图管理浏览窗口
36
图2-13 添加/删除元件库对话框
37
2.3 放 置 元 件
1 利用浏览器放置元件
▪ 在如图2-12所示中的【Browse】选项的下拉式选 框中,选中【Libraries】项。
▪ 然后单击列表框中的滚动条,找出元件所在的元 件库文件名,单击鼠标左键选中所需的元件库; 再在该文件库中选中所需的元件。
3
2.印制电路板设计系统
▪ 印制电路板设计系统是一个功能强大的印制电 路板设计编辑器,具有非常专业的交互式布线 及元件布局的特点,用于印制电路板(PCB) 的设计并最终产生PCB文件,直接关系到印制 电路板的生产。
▪ Protel 99 SE的印制电路板设计系统可以进行 多达32层信号层、16层内部电源/接地层的布 线设计,交互式的元件布置工具极大地减少了 印制板设计的时间。
▪ 注意文件名后缀为.sch
24
图2-7 新建原理图文件
25
4 设计管理器
▪ 启动protel99se后设计管理器处于打开状态,以 树状结构显示出设计数据库中的文件、组织形式 和库中各文件间的逻辑关系。
▪ 双击文件夹可展开一个树,并可通过单击小加号 展开分支,单击小减号折叠分支,如图2-8所示。
31
图2-10 文档属性对话框
32
Sheet option标签有以下内容:
▪ 图纸走向(orientation):landscape为水平走向,portrait为垂直走向。 ▪ 图纸颜色:border color为图纸边框颜色,sheet color为图纸颜色。 ▪ 图纸尺寸:standard style为国际认可的标准图纸,有18种可供选择。
▪ 设计管理器主要用于管理各种文档,包括创建、 打开、关闭和删除设计数据库文件,删除访问成 员和修改密码与权限等操作。

EDA实验教程-红绿灯PPT精品文档40页

EDA实验教程-红绿灯PPT精品文档40页
《计算机EDA设计》实验教程
实验五 交通红绿灯控制器
北航计算机学院 艾明晶
17.09.2019
1
内容概要
实验目的 ห้องสมุดไป่ตู้ 实验要求 实验原理 实验方案 实验内容 实验报告
2 17.09.2019
实验目的
了解交通红绿灯控制器的工作原理。 掌握建立状态机的逻辑模型的方法。 掌握状态机的实现和多个状态机的组合使用
具体功能要求如下 :
4 17.09.2019
在正常运行状态下,两组交通灯按以下规律自动进 行转换,在不同时刻分别放行不同方向上的车辆: (绿,红)50s(黄绿,红)5s(黄,红) 20s(红黄,红)20s(红,黄)10s
(红,绿)50s(红,黄绿)5s(红,黄) 20s(红,红黄)20s(黄,红)10s (绿,红)50s……
该控制器的逻辑模型可分为三个部分:工作状 态控制器、操作规则实现电路以及红绿灯信号 生成器。
16 17.09.2019
(1)工作状态控制器
• 功能:根据输入信号(f1、f2和reset)确定系统 当前的工作状态是为“正常运行”状态或“A一直 放行”或“B一直放行”状态。
• 工作状态控制器是一个简单的具有三状态 ( normal,fr1,fr2 ) 的 有 限 状 态 机 。 其 输 入 分 别 为人工放行A方向的控制信号f1、人工放行B方向的 控制信号f2及复位信号reset(均为高有效),其 输出为人工控制时A方向、B方向的放行状态 .
输入信号和输出信号
输入信号
• clk:时钟信号(fclk = 50MHz); • f1:人工放行A方向的控制信号(由“放行A”按钮产
生,高有效); • f2:人工放行B方向的控制信号(由“放行B”按钮产

EDA依赖性假性血小板减少PPT课件

EDA依赖性假性血小板减少PPT课件
特点
EDAPT通常在采血后数分钟至数小时内出现,但不会影响患者的实际血小板功能 和出血风险。
病例介绍
病例一
患者张某,因头晕、乏力就诊,血常规检查发现血小板计数明显降低。经过进 一步检查,确诊为EDAPT。经过对症治疗,患者病情得到缓解。
病例二
患者李某,因外伤后出血不止就诊,初步诊断为血小板减少症。后经确诊为 EDAPT,经过及时治疗,患者出血症状得到控制,血小板计数恢复正常。
骨髓移植
对于极少数严重的遗传性血小板减少 症患者,可以考虑进行骨髓移植治疗 。骨髓移植可以重建患者的造血功能 ,治愈疾病。
其他治疗方法
免疫抑制疗法
使用免疫抑制剂,如环孢素、他克莫 司等,可以抑制患者的免疫反应,减 少抗血小板抗体的产生,提高血小板 计数。
血浆置换
通过置换患者体内的异常血浆,去除 抗血小板抗体,提高血小板计数。该 方法可用于治疗急性重症血小板减少 症。
03
eda依赖性假性血小板减少的诊断
诊断标准
01
02
03
临床表现
患者可能出现皮肤黏膜出 血、牙龈出血、鼻出血等 症状,但无明显外伤或手 术史。
实验室检查
血小板计数低于正常值( 100×10^9/L),但血涂 片中血小板形态正常,无 聚集现象。
EDA阳性
通过特殊染色,在血小板 表面发现EDA阳性物质, 表明血小板与胶原纤维的 黏附能力增强。
04
提高公众对EDA依赖性 假性血小板减少症的认 识,加强预防和早期诊 断工作。
THANKS
感谢观看
02
eda依赖性假性血小板减少的病理机制
eda与血小板的关系
01
EDA是一种常见的自身抗体,与 血小板表面糖蛋白GPⅠb结合, 导致血小板活化。
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

实验三 触发器功能的模拟实现
▪ 实验内容 (根据学时可自行选择) 将基本RS触发器,同步RS触发器,集成J-K
触发器,D触发器同时集成一CPLD芯片中模拟其 功能,并研究其相互转化的方法。
实验三 触发器功能的模拟实现
▪ 知识点 1、 触发器电路的EDA设计方式 2、原理图设计和VHDL语言设计比较
实验四 扫描显示电路的驱动
▪ 两种显示电路的比较。
静态显示电路: 电路复杂、占用的管脚多、亮度高、成本高
动态显示电路: 电路简单、需要的管脚少、亮度较低、成本低 在Altera EPF10K10LC84-4的84管脚中,仅
有60多个管脚是可用管脚。如做4位LED显示: 静态:32个管脚 动态:仅需12个管脚
▪ 难点指导
1、MaxplusII软件输入方法的熟练掌握 2、触发器电路设计与应用 3、VHDL语言的应用,时钟的使用。
实验三 触发器功能的模拟实现
▪ 实验扩展 1、考虑如何设计异步时序逻辑电路。 2、体会CPLD芯片的高集成度和多I/O口。
▪ 实验设备(计算机、开发环境、硬件平台除外)
输入:时钟,按键开关,拔码开关,逻辑“1”,“0”, 输出:LED灯。 主芯片:Altera EPF10K10LC84-4。
基础性实验部分
实验一 组合逻辑3-8译码器的设计
▪ 实验目的
1、通过一个简单的3-8译码器的设计, 让学生掌握组合逻辑电路的设计方法。
2、掌握组合逻辑电路的静态测试方法。 3、初步了解可编程器件设计的全过程。
实验一 组合逻辑3-8译码器的设计
▪ 实验步骤
1、在MaxplusII软件中输入原理图。 2、电路的编译与适配 。 3、电路仿真与时序分析 。 4、管脚的重新分配与定位 。 5、器件的下载编程与硬件实现 。 6、结合电路功能,观察设计实现的正确结果。
▪ 实验目的
1、掌握组合逻辑电路的设计方法。 2、掌握组合逻辑电路的静态测试方法 。 3、加深PLD设计的过程,并比较原理图 输入和文本输入的优劣。
实验二 组合逻辑电路的设计
▪ 实验内容 (根据学时可自行选择)
1. 设计一个四舍五入判别电路,其输入为8421BCD码,要求 当输大于或等于5时,判别电路输出为1,反之为0。
▪ 实验扩展 1、 考虑如何设计优先译码器。 2、在上述实验基础上,试用VHDL语言编制程 序实现实验要求的电路功能。
▪ 实验设备
计算机、MaxplusII软件平台、 可编程器件EPF10K10LC84-4 、 北京精仪达盛EDA-V型实验箱
北京精仪达盛EDA-V型实验箱
实验二 组合逻辑电路的设计
实验二 组合逻辑电路的设计
▪ 知识点 1、 组合逻辑电路的EDA设计方式 2、原理图设计和VHDL语言设计比较
▪ 难点指导 1、MaxplusII软件输入方法的熟练掌握 2、组合逻辑电路设计与应用 3、VHDL语言的应用
实验二 组合逻辑电路的设计
▪ 实验扩展 考虑如何设计彩灯循环等其他组合逻辑电路。
2. EDA实验教学的目标定位在系统地、科学地培养学 生的实际动手能力、理论联系实际的能力、工程设计 能力与创新意识。
3. EDA实验能使学生尽快掌握现代电子设计自动化技术 的新方法、新工具和新手段。
4. EDA实验也是学生参加各类电子设计竞赛中的一种重 要的技术实现手段。
电子系统设计与EDA技术实验
电子系统设计与EDA技术实验
2005年3月
电子系统设计与EDA技术实验
实验体系 指导思想 实验内容 参考资料
实验目的 实验要求
知识点 难点指导 实验扩展
设计题
实验体系
EDA实验体系
基础性实验
设计性实验
课程综合训练
必做题 选做题
指导思想
1. 电子系统设计与EDA技术实验是电工电子课程体系改 革和电工电子实践教学基地建设的重要内容。
实验一 组合逻辑3-8译码器的设计
用三位拨码开关代表译码器的输入A、B、C,将之分别与CPLD芯 片定位后的3个管脚相连;用LED灯来表示译码器的输出,将
D0...D7对应的管脚分别与8只LED等相连。
ABC 000 100 010 110 001 101 011 111
LED0 LED1 LED2 LED3 LED4 LED5 LED6 LED7 亮 灭 灭灭灭 灭灭 灭 灭 亮 灭灭灭 灭灭 灭 灭 灭 亮灭灭 灭灭 灭 灭 灭 灭亮灭 灭灭 灭 灭 灭 灭灭亮 灭灭 灭 灭 灭 灭灭灭 亮灭 灭 灭 灭 灭灭灭 灭亮 灭 灭 灭 灭灭灭 灭灭 亮实验四 扫描显 Nhomakorabea电路的驱动
▪ 实验目的
了解EDA-V型实验系统中8位八段数码管显 示模块的工作原理,设计标准扫描驱动电路模块。
实验四 扫描显示电路的驱动
▪ 扫描显示电路又叫动态扫描显示电路。 ▪ 动态显示和静态显示的原理:
LED显示原理
LED静态显示原理
实验四 扫描显示电路的驱动
▪ LED动态扫描电路。
实验四 扫描显示电路的驱动
▪ 实验内容 1. 用拨码开关产生8421BCD码,用EPLD产
2. 设计四个开关控制一盏灯的逻辑电路,要求合任一开关, 灯亮;断任一开关,灯灭。(即任一开关的合断改变原来灯亮灭的 状态)
3. 设计一个优先权排队电路,其要求如下: 排队顺序: A=1 最高优先级 B=1 次高优先级 C=1 最低优先级
要求输出端最高只能有一端为“1”,即只能是优先级较高的输 入端所对应的输出端为“1”。
▪ 实验设备(计算机、开发环境、硬件平台除外) 输入:按键开关(常高)4个;拔码开关4位。 输出:LED灯。 主芯片:Altera EPF10K10LC84-4。
实验三 触发器功能的模拟实现
▪ 实验目的
1、掌握触发器功能的测试方法。 2、掌握基本RS触发器的组成及工作原理。 3、掌握集成JK触发器和D触发器的逻辑功能及 触发方式。 4、掌握几种主要触发器之间相互转换的方法。
实验一 组合逻辑3-8译码器的设计
▪ 知识点 1、 EDA实验的环节和过程及实验板的结构 2、3-8译码器的原理图设计和VHDL语言设计
▪ 难点指导 1、 EDA实验的步骤及实验板的结构 2、软件环境的熟悉,参考实验指导书 3、下载到实验板如何完成,参考实验指导书
实验一 组合逻辑3-8译码器的设计
相关文档
最新文档