出租车计价器论文答辩ppt课件

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
若停止则车费清零,等待下一次计费的开始。
.
4
四、设计程序流程图
NO YES
车开始启动
YES
里程不计数
YES
车行暂停
里程计数
NO
里程显示
车费、里程清零
时间计费器计数 里程计费器计数
YES NO
车跑完成一次后
车费总计
车费显示
.
5
五、系统顶层原理图
.
6
六、模块介绍
1、模块lucheng
该模块输入路程脉冲(即clk1) ,
.
11
六、模块介绍
6、模块SE
这是一个8进制数生成器,该 模块利用模块工作用的32Hz 脉冲产生模块xuantong所需
要的选通地址信号。
由仿真结果可知此模块为
八进制数生成器,对输入
脉冲进行计数,输出0-7作 为xuantong模块的地址选 择码,当模块计数满7后再 来脉冲信号,又回复到0重 新开始计数。
.
15
第二代计价器实现了半机械半电子化,在计程的同时还 可完成计价的工作。
大规模集成电路的发展产生了第三代计价器,也就是全 电子化的计价器,其功能在不断完善中。如:
(1)LED显示功能,可同时显示各项营运数据,使乘客一目了然; (2)永久时钟功能; (3)存储功能,可存储多项营运数据,便于查询。
.
2
二、设计方案的选择 ——单片机与FPGA的设计比较
块输出p信号。
当车辆停止(即rst为“1”)
时,模块数据清零,车辆开始
运行,但还未开始计价(即
rst为“0”,start为“0”)
. 时,预置初始值,起步价17 0
六、模块介绍
2、模块jijia
在出租车营运过程中,必然会 遇到等红灯、堵车等情况,当 车速低于一定值是,此模块开 始工作,把等候时间折算成费 用。
由仿真结果可以看出此模 块为分频器,当车辆运行
进行8分频,以作为选通脉冲, 时,对输入脉冲cp_32M
使得数码管显示能比较稳定的为 进行分频输出,其中sec是
人眼所看到。
32分频输出信号,
设计采用的输入脉冲为32MHz。 cp_xuan为10分频输出信
号。
.
14
七、总体电路的结果验证
由仿真结果可以得出本设计功能都得以实现,当车辆开始营 运(rst为“0”,stard为“1”)时,对路程脉冲(clk1) 进行计数,同时把行驶的路程和暂停等候时间(由输入的 32MHz脉冲生成分频秒脉冲计来时)均折算成费用,通过选 通模块和译码模块把数据轮流传输到外接的显示设备(共阴 数码管)上。以方便乘客直观的知晓目前的行驶里程和相应 的费用。
此模块把行驶路程折算的价格费 用与等待时间折算的费用相加, 计算出乘客应付的总价
输入口ascore为总计的路程数输 入口,bscore为总费用的输入口
.
由仿真结果可以看出 车辆启动后,每来一 个工作脉冲daclk,模 块就把记录的路程数 和费用数进行进制转 换,把初始的二进制 数转换成十进制数输 出。
基于VHDL的出租车 计价器设计
Rental car fare register system design base on
VHDL
.
1
一、设计背景
出租汽车计价器是一种专用的计量仪器,它安装在出租 汽车上,能连续累加,并指示出行程中任一时刻乘客应 付费用的总数,其金额值是计程和计时时间的函数。
早期的计价器就是个里程表。
.
12
六、模块介绍
7、模块yima
把选择传输到数码管显示的那 个十进制数换算成数码管的显 示码。
在本设计中数码管是共阴数码 管
由仿真结果可以看出此模 块为数显译码器,对输入 的0-9十进制数进行译码, 输出共阴数码管的显示吗。
.
13
六、模块介绍
8、模块miaocp
用来生成计时所需的秒脉冲,以 及模块zhongjia所需的工作脉冲 32Hz。同时送到分频模块SE中,
单片机的特点 1、品种齐全,型号多样 2、低电压和低功耗 3、成本低、易于控制 4、调试复杂,抗干扰能力差
EDA 技术作为一种现代电子系统开发方式,具有两 方面特点
1、修改软件程序即可改变硬件 2、速度快,可靠性高
.
3wk.baidu.com
三、出租车计费规则
车起步开始计费。首先显示起步价(本次设计起步 费为10.0元),车在行驶3 km以内,只收起步价 10.0元。
.
由仿真结果可知车辆启动后,有 秒脉冲clk2输入该模块。当行驶 路程小于3公里或计费不超过10 元时(即p为“0”),等待时间 不折算成费用。随着行驶路程变 长,超出起步路程或价格后(即 p为“1”),车辆每暂停等待3 分钟,费用增加0.5元。当车辆 停止(即rst为“1”)时,模块 数据清零,车辆开始运行(即rst 为“0”,start为“0”)时, 数据清零。
选通地址c,模块就把选到的那 一位十进制输入值向后输出,
同时在路程的百位和费用的十
位显示小数点。输出顺序为路
程个位——路程十位——路程
百位+小数点——路程千位—
—费用个位——费用十位+小数
点——费用百位——费用千
位——路程个位,如此循环往
复。由此可知路程可显示范围
为0-99.99公里,费用为0-
999.9元。
8
六、模块介绍
3、模块zhongjia
此模块把行驶路程折算 的价格费用与等待时间 折算的费用相加,计算 出乘客应付的总价
由仿真结果可知车辆启动后, 每来一个工作脉冲cp,模块就 把输入的两部分费用加起来, 计算出乘客需付的总费用。本 设计可及费用范围为0-800.0元
.
9
六、模块介绍
4、模块jinzhi
车行驶超过3 km后,按每公里2元计费(在10.0元基 础上每行驶1 km车费加2.0元),车费依次累加。
行驶路程达到或超过9 km后(或者是车费达到20元), 每公里加收50%的车费,车费变成按每公里3.0元开 始计费。
车暂时停止(行驶中遇红灯或中途暂时停车)按时 间计费,每3分钟计费0.5元。
10
六、模块介绍
5、模块xuantong
把已经折算成十进制的路程和费用数,按 一定的速度,按排列顺序每次向后级的数 码管传输一位。同时选择显示时小数点所 处的位置。
其中a1、a2、a3、a4分别是路程的个、十、由仿真结果可以看出每来一个
百、千位的数值输入口,b1、b2、b3、b4 分别是费用的个、十、百、千位的数值输 入口。c是选通地址码的输入口。
计算出相应的行驶路程数向后级
传输,同时把行驶路程折算成行 驶费用 。 输入端口START、RST、PAUSE 分别为汽车起动、停止、暂停按
由仿真结果知车速的快慢决定 了输入脉冲clk1的频率大小。 当行驶路程小于3公里时,费
键。
用显示为10元。随着行驶路
程变长,费用按照预定规律增
加。当费用超过起步价后,模
相关文档
最新文档