数字电路实验3(计数器时序逻辑的设计555定时器综合的设计VHDL译码共56页
实验三-VHDL时序逻辑电路设计
实验三 VHDL 时序逻辑电路设计一、实验目的1.熟悉用VHDL语言设计时序逻辑电路的方法2.熟悉用Quartus文本输入法进行电路设计二、实验所用仪器元件及用途1.计算机:装有Quartus软件,为VHDL语言提供操作场所。
2.直流稳压电源:通过USB接口实现,为实验开发板提供稳定电源。
3.数字系统与逻辑设计实验开发板:使试验结果下载到开发板上,实现整个实验的最终结果。
三、实验内容1.用VHDL语言设计实现一个8421码十进制计数器。
(1)实验内容及要求:在Quartus平台上设计程序和仿真题目要求,并下载到实验板上验证试验结果。
(2)试验结果:VHDL代码和仿真结果。
2.用VHDL语言设计实现一个分频系数为8,分频输出信号占空比为50%的分频器。
(1)实验内容及要求:在Quartus平台上设计程序和仿真题目要求。
(2)试验结果:VHDL代码和仿真结果。
3.用VHDL语言设计实现一个控制8个发光二极管亮灭的电路。
(1)实验内容及要求:在Quartus平台上设计程序和仿真题目要求,并下载到实验板上验证试验结果。
a.单点移动模式:一个点在8个发光二极管上来回的亮b.幕布式:从中间两个点,同时向两边依次点亮直至全亮,然后再向中间点灭,依次往复c.通过拨码开关或按键控制两种模式的转换(2)试验结果:VHDL代码和仿真结果。
四、实验设计思路及过程1.8421码十进制计数器状态转移表左图为8421码十进制计数器的状态转移表,abcd为初状态,ABCD为下一状态,每当有“1”出现时,相应的管脚就亮灯,从而从0000到1001的灯依次出现。
VHDL代码如下:LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY count12 ISPORT(clk,clear:IN STD_LOGIC;q :OUT STD_LOGIC_VECTOR(3 DOWNTO 0);END count12;ARCHITECTURE a OF count12 ISSIGNAL q_temp:ATD_LOGIC_VECTOR(3 DOWNTO 0);BEGINPROCESS(clk)BEGINIF(clk'event and clk='1') THENIF clear='0' THENq_temp<="0000";ELSIF q_temp="1011"THENq_temp<="0000";ELSEq_temp<=q_temp+1;END IF;END IF;END PROCESS;q<=q_temp;END a;2.分频系数为8,输出占空比为50%的分频器的设计左图为八分频器(占空比50%)的状态转移图,其中abc为原状态,ABC为下一状态。
VHDL与数字电路设计实验报告
VHDL与数字电路设计实验报告引言本实验旨在通过使用VHDL编程语言和数字电路设计技术,实现特定功能的电路设计。
本文档将对实验的步骤、设计原理和结果进行详细描述。
实验步骤1. 步骤一:熟悉VHDL编程语言在实验开始之前,团队成员对VHDL编程语言进行了研究和熟悉。
我们了解了VHDL的基本语法、数据类型和结构,并获得了对VHDL设计原理的初步理解。
2. 步骤二:设计功能电路在本实验中,我们选择了一个特定的功能电路进行设计。
我们首先进行了功能需求分析,并根据需求确定了电路的输入输出信号以及主要的逻辑运算。
然后,我们使用VHDL编程语言将电路的逻辑运算实现为代码,并进行了仿真和测试。
3. 步骤三:电路仿真和验证为了验证我们设计的电路功能的正确性,我们使用了VHDL仿真工具进行了电路的仿真和验证。
我们根据输入信号的不同组合,观察输出信号的变化,并与我们预期的结果进行比较。
通过这一步骤,我们确认了我们设计的电路能够按照预期工作。
4. 步骤四:电路实现和测试在确认电路的设计和仿真结果无误之后,我们进一步将电路实现到实际的数字电路平台上,并进行了硬件测试。
我们使用实际的输入信号来测试电路的性能和稳定性,并对输出信号进行观察和分析。
通过这一步骤,我们验证了电路在实际环境中的可行性。
设计原理我们设计的电路基于特定的功能需求,采用了经典的数字电路设计原理。
通过使用VHDL编程语言,我们将电路的逻辑运算实现为逻辑门和触发器的组合。
通过将输入信号连接到适当的逻辑门和触发器,我们实现了所需的功能。
结果与分析经过实验步骤的完成,我们成功地设计和实现了一个具有特定功能的数字电路。
在仿真测试和实际测试中,电路都表现出了良好的性能和稳定性。
根据结果的分析,我们验证了电路的设计原理和逻辑的正确性。
结论本实验通过使用VHDL编程语言和数字电路设计技术,成功地实现了一个具有特定功能的电路设计。
我们的实验结果表明,VHDL和数字电路设计技术在电路设计领域具有重要的应用价值。
VHDL语言实现数字电路设计
VHDL语言实现数字电路设计数字电路是由逻辑门、寄存器以及其他数字组件组成的电子系统,用于处理和传输数字信号。
VHDL(Very High-Speed Integrated Circuit Hardware Description Language)是一种硬件描述语言,用于描述数字电路和系统。
通过使用VHDL语言,我们可以实现数字电路的设计,从而满足各种需求。
VHDL语言提供了一种结构化的设计方法,允许设计者描述硬件电路的结构、功能以及时序行为。
以下是一些常见的数字电路设计任务,以及如何使用VHDL语言来实现它们。
1. 门电路设计门电路是最简单的数字电路之一,由逻辑门组成。
使用VHDL语言,我们可以通过描述逻辑门的输入和输出来实现门电路的设计。
例如,我们可以使用VHDL语言描述一个与门:```vhdlentity AND_gate isport (A, B : in bit;Y : out bit);end entity AND_gate;architecture dataflow of AND_gate isbeginY <= A and B;end architecture dataflow;```在这个例子中,我们定义了一个输入端口A和B,以及一个输出端口Y。
在architecture部分,我们使用VHDL语言描述了Y的逻辑值为A和B的逻辑与。
2. 时序逻辑电路设计时序逻辑电路是根据时钟信号进行操作和状态转换的电路。
使用VHDL语言,我们可以描述时序逻辑电路的行为和状态变化。
例如,我们可以使用VHDL语言描述一个触发器:```vhdlentity D_flip_flop isport (D, CLK : in bit;Q : out bit);end entity D_flip_flop;architecture behavior of D_flip_flop issignal Q_temp : bit;beginprocess(CLK)beginif CLK'event and CLK = '1' thenQ_temp <= D;end if;end process;Q <= Q_temp;end architecture behavior;```在这个例子中,我们定义了一个输入端口D和CLK,以及一个输出端口Q。
数字逻辑综合实验报告
一、实验目的本次实验旨在通过实际操作,加深对数字逻辑基本原理和设计方法的理解,提高学生在数字电路设计、仿真和调试方面的实践能力。
通过完成以下实验任务,使学生掌握以下技能:1. 理解数字逻辑电路的基本概念和原理。
2. 掌握数字逻辑电路的设计方法和步骤。
3. 学会使用仿真软件进行电路设计和仿真测试。
4. 掌握数字逻辑电路的调试和优化方法。
二、实验内容本次实验主要包含以下三个部分:1. 组合逻辑电路设计:设计一个四位加法器,并使用Logisim软件进行仿真测试。
2. 时序逻辑电路设计:设计一个简单的计数器,并使用Verilog语言进行描述和仿真。
3. 数字逻辑电路综合应用:设计一个简单的数字信号处理器,实现基本的算术运算。
三、实验步骤1. 组合逻辑电路设计(1)分析题目要求,确定设计目标和输入输出关系。
(2)根据输入输出关系,设计四位加法器的逻辑电路。
(3)使用Logisim软件搭建电路,并设置输入信号。
(4)观察仿真结果,验证电路功能是否正确。
2. 时序逻辑电路设计(1)分析题目要求,确定设计目标和状态转移图。
(2)使用Verilog语言描述计数器电路,包括模块定义、输入输出定义、状态定义和状态转移逻辑。
(3)使用仿真软件进行测试,观察电路在不同状态下的输出波形。
3. 数字逻辑电路综合应用(1)分析题目要求,确定设计目标和功能模块。
(2)设计数字信号处理器电路,包括算术运算单元、控制单元和存储单元等。
(3)使用仿真软件进行测试,验证电路能否实现基本算术运算。
四、实验结果与分析1. 组合逻辑电路设计实验结果:通过仿真测试,四位加法器电路功能正常,能够实现两个四位二进制数的加法运算。
分析:在设计过程中,遵循了组合逻辑电路设计的基本原则,确保了电路的正确性。
2. 时序逻辑电路设计实验结果:通过仿真测试,计数器电路功能正常,能够实现从0到9的计数功能。
分析:在设计过程中,正确描述了状态转移图,并使用Verilog语言实现了电路的功能。
数字电路555定时电路及其应用
实验六 555定时电路及其应用一、实验目的1、熟悉555型集成定时电路结构、工作原理及其特点2、掌握555型集成定时电路的基本应用二、实验原理集成定时器或555电路,是一种数字、模拟混合型的中规模集成电路,应用十分广泛。
它是一种产生时间延迟和多种脉冲信号的电路,由于内部电压标准使用了三个5K电阻,故取名555电路。
其电路类型有双极型和CMOS型两大类,二者的结构与工作原理类似。
几乎所有的双极型产品型号最后的三位数码都是555或556;所有的CMOS 产品型号最后四位数码都是7555或7556,二者的逻辑功能和引脚排列完全相同,易于互换。
555和7555是单定时器。
556和7556是双定时器。
双极型的电源电压VCC=+5V~+15V,输出的最大电流可达200mA,CMOS型的电源电压为+3~+18V。
555电路的内部电路方框图如图6-1所示。
它含有两个电压比较器,一个基本RS 触发器,一个放电开关管T,比较器的参考电压由三只 5KΩ的电阻器构成的分压器提供。
它们分别使高电平比较器A1 的同相输入端和低电平比较器A2的反相输入端的参考电平为2/3VCC 和1/3VCC。
A1与A2的输出端控制RS触发器状态和放电管开关状态。
当输入信号自6脚,即高电平触发输入并超过参考电平2/3VCC时,触发器复位,555的输出端3脚输出低电平,同时放电开关管导通;当输入信号自2脚输入并低于1/3VCC 时,触发器置位,555的3脚输出高电平,同时放电开关管截止。
D R是复位端(4脚),当D R=0,555输出低电平。
平时D R端开路或接VCC。
VC 是控制电压端(5脚),平时输出2/3VCC作为比较器A1 的参考电平,当5脚外接一个输入电压,即改变了比较器的参考电平,从而实现对输出的另一种控制,在不接外加电压时,通常接一个0.01μf的电容器到地,起滤波作用,以消除外来的干扰,以确保参考电平的稳定。
T为放电管,当T导通时,将给接于脚7的电容器提供低阻放电通路。
数字逻辑实验《555集成定时器》
实验六555集成定时器及应用专业班级:学号:姓名:一、实验目的1,熟悉555集成定时器的组成及工作原理。
2,掌握用定时器构成单稳态电路、多谐振荡电路和施密特触发器。
3,学习用示波器对波形进行定量分析,测量波形的周期,脉宽幅值等。
二、实验仪器及材料1,双踪示波器2,器件NE555 1~ 2片电阻、电容若干三、实验原理与参考电路1,555集成定时器的基本组成555集成电路主要有两个高精度电压比较器,一个基本RS触发器及一个做为放电回路的晶体三极管组成,其结构及管脚排列如下:(1)Vss :接地端;(2)/TR :低触发端,此端电平低于Vcc时,引起触发;(3)V out :输出端;(4)/Rd :复位端,此端送入一低电平,可使输出变为低电平。
(5)Vco :电压控制端,此端外接一参考电源时可以改变上下触发电平。
(6)TH :高触发端,此端电平高于Vcc(上触发电平)时,引起触发。
(7)DISC :放电端,也可以作为集电极开路输出。
(8)Vcc :电源端。
2,555电路的基本应用555电路的用途十分广泛,它可以用做时间定时,时间延迟电路,亦可作为自激多谐振荡器,脉冲调制电路,脉冲丢失指示器,报警以及单稳、双稳各种电路,以下介绍几种基本应用。
(1)单稳态电路按图1连接就组成了单稳态电路。
图1R=1K~10M C >1000P脉宽T W = RCln3 ≈1.1RC(2)多谐振荡器当555电路按图2所示连接时,就构成了自激多谐振荡器,其中R1、R2是外接电阻,C是外接电容。
图2电路的振荡周期:T=(R1+2R2)ln2(3)施密特触发器将555定时器的阈值输入端和触发输入端连在一起,便构成了施密特触发器,如下图3所示。
当V i输入0~5的三角波信号时,则从施密特触发器的V o端可得到方波输出。
如将图中的5脚外接控制电压Vco,改变Vco的大小,可以调节回差电压的范围。
如果在555定时器的放电BJT输出端(7脚)外接一电阻,并与另一电源Vcc1相连,则由V o 输出的信号可实现电平转换。
EDA实验3 时序逻辑设计(计数器)
实验四计数器设计一、实验目的1 学习时序逻辑设计方法。
2 学习任意进制计数器的设计方法。
二、实验内容设计一个十进制的加法计数器, 要求控制信号包括时钟clk、时钟使能en、数据加载控制信号load、复位信号reset, 输出信号包括数据输出dout、进位输出cout。
在QuartusII软件中对该设计进行编译、综合、时序仿真,下载验证。
三、实验步骤:1.建立新文件夹,建立工程;2.输入verilog设计;3.编译;4.时序仿真。
5.分配适当引脚,下载验证四、实验报告根据以上的实验内容写出实验报告,包括程序设计、时序仿真结果,实验硬件验证结果等。
五、扩展部分1 在任意计数器设计上置数法和复位法有何区别,同步异步复位有何区别?2 设计外围电路让计数的结果能够在数码管上显示出来。
参考程序:module counter (reset,clk,out,count); input reset, clk;output out;reg out;output [m-1:0] count;reg[m-1:0] count;always @(posedge clk)beginif (!reset)begincount<=0;out<=0;endelse if (count= =n-1)beginout<=1;count<=0;endelse begincount<=count+1;out<=0;endendendmodule1。
数字逻辑实验报告
数字逻辑实验报告本次实验旨在通过数字逻辑实验的设计和实现,加深对数字逻辑电路原理的理解,并通过实际操作提高动手能力和解决问题的能力。
在本次实验中,我们将学习数字逻辑实验的基本原理和方法,掌握数字逻辑实验的设计与调试技巧,提高实验操作的熟练程度。
首先,我们进行了数字逻辑实验的准备工作,包括熟悉实验设备和器材的使用方法,了解实验电路的基本原理和设计要求。
在实验过程中,我们按照实验指导书上的要求,逐步完成了数字逻辑实验电路的设计、搭建和调试。
在实验过程中,我们遇到了一些问题,但通过分析问题的原因并进行逐步排除,最终成功完成了实验。
其次,我们进行了数字逻辑实验电路的测试和验证。
通过使用示波器、逻辑分析仪等测试设备,我们对搭建好的数字逻辑电路进行了测试,验证了实验电路的正确性和稳定性。
在测试过程中,我们发现了一些问题,但通过仔细观察和分析,最终找到了解决问题的方法,并取得了满意的测试结果。
最后,我们总结了本次实验的经验和教训。
通过本次实验,我们深刻理解了数字逻辑电路的原理和实现方法,提高了实验操作的技能和水平,增强了动手能力和解决问题的能力。
在今后的学习和工作中,我们将继续努力,不断提高自己的专业能力和实践能力,为将来的发展打下坚实的基础。
通过本次实验,我们对数字逻辑实验有了更深入的了解,对数字逻辑电路的设计和实现有了更加丰富的经验,相信在今后的学习和工作中,我们能够更加熟练地运用数字逻辑知识,为实际工程问题的解决提供有力的支持。
总之,本次实验不仅增强了我们对数字逻辑实验的理解和掌握,也提高了我们的实验操作能力和解决问题的能力。
希望通过今后的学习和实践,我们能够不断提高自己的专业水平,为将来的发展打下坚实的基础。
vhdl计数器实验报告
vhdl计数器实验报告《VHDL计数器实验报告》摘要:本实验利用VHDL语言设计了一个简单的计数器电路,并通过FPGA实现了该计数器。
实验结果表明,VHDL计数器能够准确地实现计数功能,具有较高的稳定性和可靠性。
引言:计数器是数字电路中常见的一种基本电路,用于实现对输入信号的计数和统计。
在本次实验中,我们利用VHDL语言设计了一个简单的计数器电路,并通过FPGA实现了该计数器。
本实验旨在通过实际操作和观察,加深对VHDL语言和计数器电路的理解,提高实验者的实际动手能力和问题解决能力。
实验内容:1. VHDL语言设计计数器电路2. 在FPGA上实现计数器电路3. 调试和测试计数器电路实验步骤:1. 设计计数器电路的VHDL代码,包括计数器的输入输出端口、计数器的计数规则和状态转换规则等。
2. 将VHDL代码综合成逻辑门电路,并下载到FPGA开发板上。
3. 运行FPGA开发板,观察计数器电路的工作情况,调试和测试计数器电路。
实验结果:经过实验,我们成功设计了一个简单的4位二进制计数器,能够准确地实现计数功能。
在FPGA开发板上实现该计数器电路后,我们观察到计数器能够按照预期的规则进行计数,并且在每个计数值上能够稳定地输出正确的结果。
在不同的输入条件下,计数器电路都能够正常工作,具有较高的稳定性和可靠性。
结论:通过本次实验,我们深入了解了VHDL语言的基本语法和计数器电路的设计原理,掌握了VHDL语言设计数字电路的方法和技巧。
同时,我们通过实际操作和观察,加深了对计数器电路的理解,提高了实际动手能力和问题解决能力。
本次实验取得了良好的实验效果,为今后的数字电路实验和项目设计奠定了良好的基础。
实验8 555定时器 - 实验报告要求
实验八 555定时器--实验报告要求一、实验目的(0.5分)掌握555定时器的结构和工作原理,学会对此芯片的正确使用;学会分析和测试用555定时器构成的多谐振荡器,单稳态触发器,施密特触发器等三种典型电路。
二、实验设备与器件(0.5分)三、实验原理和电路(1分)1.器件特性555定时器是一种中规模集成电路,外形为双列直插8脚结构,体积很小,使用起来方便。
只要在外部配上几个适当的阻容元件,就可以构成史密特触发器、单稳态触发器及自激多谐振荡器等脉冲信号产生与变换电路。
它在波形的产生与变换、测量与控制、定时电路、家用电器、电子玩具、电子乐器等方面有广泛的应用。
引脚功能:V i1(TH ):高电平触发端,简称高触发端,又称阈值端,标志为TH 。
.(a) 555的逻辑符号(b) 555的引脚排列图2 555定时器逻辑符号和引脚图1 555定时器内部结构 Vi1(TH)Vi2Vco..V i2(TR ):低电平触发端,简称低触发端,标志为TR 。
V CO :控制电压端。
V O :输出端。
Dis :放电端。
Rd :复位端。
555定时器内含一个由三个阻值相同的电阻R 组成的分压网络,产生31V CC 和32V CC 两个基准电压;两个电压比较器C 1、C 2;一个由与非门G 1、G 2组成的基本RS 触发器(低电平触发);放电三极管T 和输出反相缓冲器G 3。
555定时器的控制功能说明见表1。
2.施密特触发器由555定时器组成的施密特触发器见图3;在数字电路中用于脉冲信号的整形。
当输入V i 是不规则信号时,经史密特触发器处理后,输出为规则的方波;将史密特触发器用于数据通讯电路中,具有一定的抗干扰能力。
图 3施密特发器电路的电路图和波形图 3.单稳态触发器图4所示为单稳态触发器的电路和波形图。
单稳态触发器在数字电路中常用于规整信号的脉冲宽度(T W ):将脉宽不一致的信号输入单稳态触发器后,可输出脉宽一致的脉冲信号。
实验三_VHDL时序逻辑电路设计
实验三实验三 VHDL VHDL VHDL 时序逻辑电路设计时序逻辑电路设计 一、实验目的一、实验目的1. 熟悉用VHDL 语言设计时序逻辑电路的方法语言设计时序逻辑电路的方法 2. 熟悉用Quartus 文本输入法进行电路设计文本输入法进行电路设计 二、实验所用仪器元件及用途二、实验所用仪器元件及用途 1. 计算机:装有Quartus 软件,为VHDL 语言提供操作场所。
语言提供操作场所。
2. 直流稳压电源:通过USB 接口实现,为实验开发板提供稳定电源。
接口实现,为实验开发板提供稳定电源。
3. 数字系统与逻辑设计实验开发板:使试验结果下载到开发板上,实现整个实验的最终结果。
果。
三、实验内容三、实验内容 1. 用VHDL 语言设计实现一个8421码十进制计数器。
码十进制计数器。
(1) 实验内容及要求:在Quartus 平台上设计程序和仿真题目要求,并下载到实验板上验证试验结果。
验证试验结果。
(2) 试验结果:VHDL 代码和仿真结果。
代码和仿真结果。
2. 用VHDL 语言设计实现一个分频系数为8,分频输出信号占空比为50%的分频器。
的分频器。
(1) 实验内容及要求:在Quartus 平台上设计程序和仿真题目要求。
平台上设计程序和仿真题目要求。
(2) 试验结果:VHDL 代码和仿真结果。
代码和仿真结果。
3. 用VHDL 语言设计实现一个控制8个发光二极管亮灭的电路。
个发光二极管亮灭的电路。
(1) 实验内容及要求:在Quartus 平台上设计程序和仿真题目要求,并下载到实验板上验证试验结果。
验证试验结果。
a. 单点移动模式:一个点在8个发光二极管上来回的亮个发光二极管上来回的亮b. 幕布式:从中间两个点,同时向两边依次点亮直至全亮,然后再向中间点灭,依次往复往复c. 通过拨码开关或按键控制两种模式的转换通过拨码开关或按键控制两种模式的转换 (2) 试验结果:VHDL 代码和仿真结果。
[工学]青岛大学数字电路实验课件3_计数器__时序逻辑设计__555定时器、综合设计、VHDL、译码器数据选择器
选择触发器
D触发器: Q (n+1)=D JK触发器: Q (n+1)=JQn+KQn
将输出表达式化为所选触发器的形式
D4=Q1+Q3+Q4Q2+Q4Q2 D3=Q4Q3Q2Q1+Q4Q3Q2Q1 D2= Q4Q3Q2Q1+Q4Q3Q2Q1 D1= Q2+Q4+Q3Q1+Q3Q1
5、根据表达式画出电路图
D > CLK
PR
Q Q
CLR
1
2
3
4
5
6
7
1Rd D1 1CP 1Sd Q1 Q1 GND 7474 74LS74 (双D触发器 带置位、复位、正触发)
K1
Q1
Q1 GND K2 Q2
Q2 J2
16 15 14 13 12 11 10 9
Q Q Q Q
>
1
2
3
4
5
6
>
CLR PR CLK
PR CLR CLK
实验四
一、实验目的
计数器及其应用
1、学习用集成触发器构成计数器的方法。 2、掌握中规模集成计数器的使用方法及功能测试方法。 二、实验仪器及器件
1、数字电路实验箱
2、数字示波器 3、74LS74(双D触发器) 4、74LS192(同步十进制加法计数器) 5、74LS08(2输入与门)(输入输出管脚图与74LS00相同) 6、74LS00
同步十进制加法计数器
0000
0001
0010
0011
1001
0100
1000
0111
0110
0101
3、状态化简
555简易电子琴数字逻辑课程设计报告正文
555简易电子琴数字逻辑课程设计报告目录第一章系统概述 (1)1.1 系统开发背景 (1)1.2 系统开发意义 (1)1.3 EWB在数字电子电路综合课程设计中的应用 (1)第二章555简易电子琴设计 (2)2.1 设计题目 (3)2.2 设计的目的与要求 (3)2.3 分析任务 (3)2.3.1设计总开关模块 (3)2.3.2设计控制模块 (3)2.3.3设计琴键模块 (3)2.3.4设计扬声器模块 (3)2.4 需用器件的选择 (3)2.4.1 555定时器 (3)2.4.2 电容 (6)2.4.3 电阻 (6)2.5 总体说明 (6)2.6 单元模块 (6)第三章555简易电子琴的实现 (8)3.1 单元模块的实现 (8)3.2 电子琴的完整电路设计 (9)3.3 参考文献 (17)- I -第一章系统概述1.1系统开发背景随着电子技术的不断发展,模拟电子技术的缺点和局限性越发明显,模拟电子技术的不稳定性、易干扰性等大大限制了其应用,且有阻碍电子技术发展的趋势。
19世纪兴起的数字电路以其先天的便捷、稳定的优点在现代电子技术电路中占有越来越重要的地位。
数字电路与模拟电路相比有显而易见的稳定性。
近年来,数字电路又有了巨大的发展。
可编程逻辑器件(PAL、GAL等)的发展和普及最终使IC 的设计面向了用户(这是模拟电路无法做到的),而这毫无疑问会给用户带来巨大的便捷,从而奠定它在电子电路中的对位。
随着集成技术的进一步提高,各种新技术的出现和应用,人类历史横跨数码时代向更进一步发展已出现在各大型相关企业的宏伟蓝图中。
新世纪里谁掌握了新技术谁就得到了获胜的资本,也仅仅是资本而矣。
新世纪里电子行业的发展速度令人窒息,闻名的摩尔定律更把许多人威吓在门外。
可以展望,由数字构成的新世界即将出现。
将是人类文明的又一飞跃。
1.2系统开发意义555简易电子琴是一种用数字电路技术实现数字显示装置,与机械式数字显示装置相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。
数字电子技术实验4.8 555时基电路应用的Multisim仿真实验
高
1
>(2/3)VCC <(1/3)VCC
高
导通 不变 截止 截止
图4-68 555定时器内部框图及管脚图
实验4.8 555时基电路应用
三、实验原理
图4-69 555构成的单稳态触发器 Tw=1.1RC
实验4.8 555时基电路应用
三、实验原理
图4-70 555构成的多谐振荡器电路图及波形图 T=Tw1+Tw2, Tw1=0.7(R1+R2)C, Tw2=0.7R2C
4 RST
OUT 3
7 DIS
6 THR
2 TRI
5 CON
C2 0.01µF
GND
1
LM555CM
XSC1
G T A BC D
图4-76 单稳态触发器仿真电路图
图4-77 单稳态触发器仿真波形图
实验4.8 555时基电路应用
四、计算机仿真实验内容
VCC 5V
R1
100kΩ Key=A
50 %
R2
实验4.8 555时基电路应用
三、实验原理
图4-71 555构成的施密特触发器 图4-72 波形变换图
图4-73 电压传输特性
实验4.8 555时基电路应用
四、计算机仿真实验内容
R1
D1
R2
5.1kΩ 1N4148 1kΩ
C1 0.01µF
V1 5kHz 5V
C 0.1µF
ห้องสมุดไป่ตู้
VCC 5V
8
U1
VCC
XSC1
G T A BC D
图4-82 施密特触发器波形图
D1
C 1N4148
V1 5 Vpk 1kHz 0°
数字电路实验报告555定时器及应用
姓名:xxxxxxxxxxxxxxx学号:xxxxxxxxxx .学院:计算机与电子信息学院专业:计算机类.班级:xxxxxxxxxxxxxxxxxx时间:2019年10月18 日.指导教师:xxxxxxxx . 实验名称:555定时器及应用.一、实验目的1、熟悉掌握555定时器的基本工作原理及功能;2、掌握555定时器构成多谐震荡器的工作原理和使用方法;3、熟悉数字系统的分析和应用。
二、实验原理1、555定时器原理简介555定时器是共仪器、仪表、自动化装置、各种民用电器的定时器、时间延时器等电子控制电路用的时间功能电路,也可以做自激多谐振荡器、脉冲调制电路、脉冲相位调谐电路、脉冲丢失指示器、报警器以及单稳态、双稳态等各种电路,应用范围十分广泛。
(1)555定时器的特点①外部连接几个阻容元件,可以方便的构成施密特触发器、多谐振荡器和单稳态触发器等脉冲产生与整形回路。
②具有一定的输出功率,因此可直接驱动微电机、指示灯和扬声器等。
该器件有双极型和COMS型两类产品,双极型产品型号最后三位为555,COMS型产品型号最后四位为7555,它们的功能及外部引线排列完全相同。
③电源电压范围宽(3~18V),双极型的电源电压为5~15V,COMS型的电源电压为3~18V,能够提供与TTL及COMS型的数字电路兼容的逻辑电平。
(2)555定时器的电路结构及功能图6-1是555定时器的电路结构图和管脚排列图,它的八个引脚的名称及作用如下:1脚:芯片的地端2脚:芯片的触发输入端TR’(也叫低触发端)3脚:芯片的输出端4脚:芯片的复位端RD’5脚:芯片的控制电压输入Vco 6脚:芯片的阈值输入端TH(也叫高触发端)7脚:芯片的放电端DISC 8脚:芯片的电源Vcc图6-1(a)电路结构图(b)管脚排列图555定时器的电路结构图中,它由比较器C1和C2、基本RS触发器和集电极开路的放电三极管T D三部分组成。
V11(TH)是比较器C1的输入端,V12(TR’)是比较器C2的输入端,C1和C2的参考电压(电压比较的基准)V R1和V R2由V CC经三个5kΩ电阻分压给出。
555定时器实验报告
555定时器实验报告一实验内容1 555定时器的动态和静态逻辑功能测试,动态测试要求输入为三角波,输出用数字示波器显示。
2 用555定时器设计一个数字定时器,每启动一次,电路产生一个5s左右的正脉冲。
、二实验条件555定时器,数字万用表,数字示波器,计算机电路基础实验箱,导线若干。
三实验原理1 静态测试555定时器的逻辑功能。
用动态的电压作为输入0~5V,产生这个变化电压电路如下图所示:电源为5V,A端接到555定时器的2号管脚。
测试电路连接方法:从图中1开始逆时针分别为1~8,其连接方法为:管脚 1 2 Vi 3 Vo 4连接GND 变化电压输入输出,万用表表笔测试悬空管脚 5 6 7 8连接悬空与2相连不接VCC0~5V输入变化、Vi(V)0.00 1.67 1.82 2.20 2.50 2.70 3.00 3.23 5.00 Vo(V)3.71 3.71 3.71 3.71 3.71 3.71 3.71 0.01 0.01二极管截止截止截止截止截止截止截止导通导通5~0V输入变化Vi(V)5.00 3.67 2.82 2.20 2.00 1.70 1.65 1.60 0.00Vo(V)0.01 0.01 0.01 0.01 0.01 3.71 3.71 3.71 3.71二极管导通导通导通导通导通截止截止截止截止从测试结果可以得到:输入电压由0~5V变化时,其跳变区域在输入电压,3.23V附近,输入电压由5~0V变化时,其跳变电压在1.7V附近。
电压变化趋势不一样,跳变电压也是不一样的。
2动态测试555定时器的逻辑功能。
其中电路连接方法与前面静态测试无异。
但是输入变为积分电路输出的三角波。
积分电路选用的电阻为100KΩ,电容选择为0.1uF连接,在电容器两端输出的波形为所需要的三角波。
其中测得555定时器的输入输出为:CH1为输入,CH2为输出。
其中波形参数为:项目CH1 CH2Min/Max(V) 0.20V/3.80V 0.00V/3.80V峰峰值 3.60V 3.80V周期/频率560us/1790Hz 560us/1790Hz占空比/脉宽0.196/110us合成后得到:用三角波动态测试得到:输入电压由小到大变化时,跳变电压为3.44V,由大到小变化时,跳变电压为1.64V。
课程设计数字式计时器电路555定时器.
目录目录11.系统设计思路与总体方案21.1 设计思路与流程图22.Multisim软件的简介32.1Multisim概貌及特点33.555定时器,CD4518和CD4011介绍63.1 555定时器63.2 CD4518引脚功能93.3 CD4011引脚图104. 数字逻辑,振荡器,计数器和显示电路图114.1数字逻辑模块114.2振荡器模块124.3 计数器模块154.4 显示器模块165. 电路的总体设计与调试165.1 总体电路原理图165.2总体电路工作原理176.课程设计感受186.1 课程设计中的收获和体会187.附录与文献197.1附录197.2参考文献201.系统设计思路与总体方案1.1 设计思路与流程图根据任务书可以知道本课题是一个2位数字显示计数器,是一个十进制计数器组合,本质上就是一计时器。
通过一个时基电路产生一定频率脉冲,将脉冲信号输入低位的计数器输入端,通过一级级的进位,从而达到计数。
从而完成此课题,我们可以将这整个计数系统,分为几个模块进行分析。
(1).数字逻辑控制模块。
通过使用门电路来控制计时器进位及清零。
(2).脉冲信号产生模块。
由一个振荡电路来产生一个固定频率的脉冲信号,作为计时器的时基信号。
(3).计时数计数模块。
接收计时及中断信号脉冲,从而控制计数器计数,且有清零功能,该模块选用十进制计数器。
(2).译码显示模块。
该模块要显示00到99的数字,选用十进制计数器的基础上,通过它们之间的级联,最终显示相应数字。
该数字式定时器,需要用到555定时器,由此产生振荡信号,在数字逻辑电路的控制下,由计数器计数,最后在数码管上显示出来,画为流程图如下:图1.1-1:总体方案流程图2.Multisim软件的简介2.1Multisim概貌及特点Multisim是美国国家仪器(NI)某某推出的以Windows为基础的仿真工具,适用于板级的模拟/数字电路板的设计工作。
它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有丰富的仿真分析能力。
VHDL计数器译码电路设计实验
实验一、计数器设计实验1、实验目的1)学习Ise系统的操作与使用。
2)学习用VHDL语言设计程序的方法和原理图编辑器的使用方法。
3)学习多功能EDA开发系统的使用。
2、实验内容1)用原理图编辑器设计输入十进制计数器。
3、实验设备1)清华同方PⅣ2.4G\256M60G2)ISE 6.2i—Windows软件系统3)多功能EDA实验系统4、实验步骤1)创建工程2)原理图输入3)仿真5、实验电路图6、仿真结果1)仿真测试文件2)仿真结果实验二、译码电路设计实验1、实验目的1)复习二进制译码器的功能。
2)学习VHDL语言源程序输入方法。
3) 学习VHDL语言源程序检查和修改。
4)掌握用VHDL语言设计一个3线-8线译码器的方法。
5)掌握VHDL语言编辑器的基本操作。
2、实验原理译码为编码的逆过程。
它将编码时赋予代码的含义“翻译”过来。
实现译码的逻辑电路称为译码器。
译码器输出与输入代码有唯一的对应关系。
常用的译码器有二进制译码器、二十进制译码器、显示段译码器等等。
3线—8线译码器是二进制译码器的一种。
其输人为一组三位二进制代码,而输出则对应—路高、低电平信号。
图2-3示出了3线—8线译码器74138的逻辑图。
74138译码器的逻辑图其中A、B、C为三位二进制代码输人端。
Y0-Y7是八个输出端,G1、G2A、G2B为三个输入控制端。
只有当G1=1,G2A=0,G2B=0时,处于工作状态。
否则、译码器将处在禁止状态,所有输出端全为高电平。
其对应的真值表如下表所示。
74138译码器的真值表:七段数码显示译码器的实验内容本次实验作为选做内容,实验原理可见实验教程。
3、实验内容1)本实验给出了有错误的3线—8线译码器的VHDL程序,请采用VHDL编辑器,修改调试程序。
2)仿真3线—8线译码器的设计。
3)采用VHDL设计方法,设计符合7447功能的共阳极数码显示译码器。
(选作)4、实验设备1)清华同方PⅣ 2.4G\256M60G2)ISE 6.2i—Windows软件系统5、实验步骤1)创建工程2)源程序输入3)仿真6、实验程序library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;-- Uncomment the following lines to use the declarations that are -- provided for instantiating Xilinx primitive components.--library UNISIM;--use UNISIM.VComponents.all;entity te isPort( A: in std_logic;B: in std_logic;C: in std_logic;G1:in std_logic;G2A:in std_logic;G2B:in std_logic;Y: out std_logic_vector(7 downto 0));end te;architecture Behavioral of te issignal D_IN:std_logic_vector(2 downto 0);beginD_IN<=A&B&C;process(D_IN)beginif(G1='1'and G2A='0'and G2B='0')thencase D_IN iswhen "000"=>Y<="11111110";when "001"=>Y<="11111101";when "010"=>Y<="11111011";when "011"=>Y<="11110111";when "100"=>Y<="11101111";when "101"=>Y<="11011111";when "110"=>Y<="10111111";when "111"=>Y<="01111111";when others=>NULL;end case;elseY<="11111111";end if;end process;end Behavioral;7、仿真结果1)仿真测试文件2)仿真结果从仿真结果可知源程序很好的完成了3-8译码器的功能。
实验六 555定时器及组合逻辑电路综合设计
任务说明
P142 任务1、2、3 1.T=1.1RC 2.T=TW1+TW2 TW1=0.7(R1+R2)C TW2=0.7R2C 3.n=R1/(R1+R2)
例:利用数据选择器和分配器实现信息的“并行—串行—并行” 传送。 1 1 1 由译码器连成的数据分配器
0 译码
0 1
0 1
1
禁止译码
返回
五、实验报告要求
并标上对应的地址码和输入输出端。 2、按实验要求列表记录实验数据和 真值表,对实验结果进行分析。 3、对实验中发现的问题进行讨论。
1、画出实验电路图,画出观察波形,
1个
四、实验任务
P142 任务1、2、3 任务4:
用数选器和译码器组成8通道数字传输系统 (P103任务4)-----要进行效果检查
1、译码器逻辑功能测试
返回
数据选择器 分类:二选一、四选一、八选一、十六选一 八中选一数据选择器CT74LS151
返回
发送端,并—串
接收端,串—并
多通道数字传输系统
实验六 555定时器与单稳态触发器及其 应用
一、实验目的
1 、掌握 555 定时器工作原理及设 计脉冲信号产生电路的方法; 2、掌握单稳态触发器工作原理以 及设计脉冲信号产生电路方法;
二.实验原理
1、 定时器
1、1 555定时器
1、2定时器应用举例
New!Biblioteka 用基础1.1.1 555定时器
R=5kΩ
应用基础
1.1.1 555定时器
应用基础
1.1.1 555定时器
应用基础
1.1.1 555定时器
电源及驱动:
VCC: 4.5~18V 输出级的驱动电流≥200mA
数字电路与系统设计(实验九)555定时器
实验九555定时器应用一、实验目的:1.了解555定时器的结构和工作原理。
2.掌握用555定时器组成的常用脉冲单元。
3.学习用示波器测量脉冲参数。
二、实验仪器:序号仪器或器件名称型号或规格数量1 逻辑实验箱 12 万用表 13 双踪示波器 14 555 25 电阻 46 电容 4三、实验原理:555定时器是一种将模拟功能与逻辑功能相结合的多用途单片集成电路,可以产生时间迟延和多种脉冲信号。
只要在外部配上几个适当的阻容元件,就可构成单稳态触发器、多谐振荡器和施密特触发器等脉冲产生与整形电路。
555定时器的应用(1)用555定时器构成多谐振荡器多谐振荡器是一种无稳态电路,接通电源以后,无须外加触发信号,就能自动地不断翻转,产生矩形波。
由于这种矩形波中含有很多谐波分量,因此称之为多谐振荡器。
用555定时器构成多谐振荡器的电路见图9-4,其工作波形如图9-5所示。
暂稳态持续时间为:t W1=0.7(R1+R2)Ct W2=0.7R2C脉冲周期为:T= t W1+ t W2(2)用555定时器构成单稳态触发器单稳态触发器只有一个稳定状态,在外界触发脉冲作用下,电路由稳态翻转到暂稳态,暂稳态维持一段时间后,电路自动返回到稳态。
在输出端产生一个宽度为t W的矩形脉冲。
暂稳态维持时间的长短仅取决于电路本身的参数,而与外界触发脉冲无关。
用555定时器构成单稳态触发器的电路见图9-6,其工作波形如图9-7所示。
暂稳态持续时间为:t W=1.1RC占空比为:q= t W /T四、实验内容:1.用555定时器构成多谐振荡器,给定R1=R2=100K,C=5000p。
步骤:正确联接电路。
用示波器的一个探头接555的输入端2、6脚(vC端),另一个探头接555的输出端3脚(Q1端)。
要求:观察示波器,画出波形;从示波器显示的波形测量脉冲参数tW1、tW2、VOH、VOL,并与理论值比较,计算T、f。
连接电路如下所示:实验所得图:图像分析:实际测量:tw1=686.6ms tw2=214.0ms VOH-VOL=4.24VT= tw1+ tw2=900.6msf=1/T=1.11Hz理论值:tw1=0.7*(100+100)*103*4.7*10-6 s =658mstw2=0.7*100*103*4.7*10-6 s =329ms2.用555定时器构成单稳态触发器,给定R=100K,C=5000p。