秒表设计说明书讲解
秒表课程设计说明书

秒表课程设计说明书一、课程目标知识目标:1. 学生能理解秒表的基本结构、功能及使用方法。
2. 学生掌握时间的基本单位秒,并能进行简单的时间计算。
3. 学生了解秒表在日常生活和体育竞技中的应用。
技能目标:1. 学生能熟练操作秒表,进行计时、停止、复位等基本操作。
2. 学生能运用秒表进行实际时间的测量,如短跑时间、实验时间等。
3. 学生能通过秒表进行时间数据分析,提高解决问题的能力。
情感态度价值观目标:1. 学生培养对时间的珍惜,养成良好的时间观念。
2. 学生在小组合作中使用秒表,培养团队协作和互助精神。
3. 学生通过秒表的应用,增强对科学探究的兴趣,激发求知欲。
课程性质:本课程为实践性课程,结合理论知识与实际操作,旨在培养学生的动手能力、观察力和思维能力。
学生特点:四年级学生具有一定的认知能力和动手能力,对新鲜事物充满好奇,但注意力集中时间较短。
教学要求:教师应注重理论与实践相结合,引导学生主动参与,关注学生个体差异,鼓励学生提问和思考,提高学生的实践操作能力和解决问题的能力。
通过本课程的学习,使学生能够将所学知识应用于实际生活,培养其综合素质。
二、教学内容1. 秒表的结构与功能- 秒表的外观结构认识- 秒表的启动、停止、复位功能操作- 秒表的计次、累计功能了解2. 时间单位秒- 时间单位秒的概念- 秒与分钟、小时的关系- 时间加减法运算3. 秒表在实际应用中的使用- 课堂实验时间测量- 体育运动计时(如短跑、跳远等)- 日常生活时间管理4. 秒表数据解读与分析- 数据收集与整理- 数据分析的基本方法- 数据在解决问题中的应用教学大纲安排:第一课时:秒表的结构与功能、时间单位秒第二课时:秒表在实际应用中的使用第三课时:秒表数据解读与分析教学内容关联教材:章节:时间与测量内容:秒表的使用、时间的计算、时间的实际应用教学内容注重科学性和系统性,结合课程目标,引导学生从理论到实践,逐步掌握秒表的使用方法和时间数据分析技巧。
简易数字秒表的电路设计 概述及解释说明

简易数字秒表的电路设计概述及解释说明1. 引言1.1 概述本文主要介绍了一种简易数字秒表的电路设计。
秒表是一种用于计算时间间隔的常见工具,广泛应用于日常生活和各行各业中。
传统的机械秒表用起来不够便捷,因此我们将使用电路设计来实现一个数字秒表,使其更加方便使用。
1.2 文章结构本文分为四个主要部分进行阐述。
首先,在“引言”部分中我们将对文章进行概述和介绍。
接下来,在“简易数字秒表的电路设计”部分中,我们将详细介绍设计原理、电路元件选择与说明以及电路连接与布局等内容。
然后,在“解释说明”部分中,我们将解释秒表功能的实现方法,并探讨其功能扩展可能性,并指出在电路设计过程中需要注意的问题。
最后,在“结论”部分中,我们对本次设计成果进行总结,并就可能存在的改进空间进行分析和未来应用进行展望和思考。
1.3 目的本文旨在通过详细描述并解释简易数字秒表的电路设计,提供一个清晰易懂、全面深入的指南,帮助读者了解该设计思路及其实现方法。
同时,通过对功能扩展可能性的探讨和对电路设计过程中需要注意的问题的分析,可以引导读者在实际应用和改进中做出更好的决策。
最后,通过总结和展望,为未来的研究和发展提供参考思路。
2. 简易数字秒表的电路设计2.1 设计原理:简易数字秒表的电路设计基于计时器和显示器组成。
其主要原理是利用计时器模块产生一个稳定的时间基准,然后将该时间以数字形式显示在显示器上。
2.2 电路元件选择与说明:在设计简易数字秒表的电路时,我们需要选取合适的电子元件来实现功能。
以下是一些常见的元件选择:- 计时器芯片:可选择集成型计时器芯片,如NE555等,它们具有稳定的时钟信号输出。
- 显示屏:一般选用7段LED数码管,由于它们能够直观地显示数字。
- 驱动芯片:如果使用多个7段LED数码管进行显示,则必须选择合适的驱动芯片,如74HC595等。
这些元件经过合理的选择和配套可以实现精确、稳定地测量和显示时间。
2.3 电路连接与布局:简易数字秒表电路连接和布局对功能稳定性有重要影响。
数字秒表课程设计说明书

1概述1.1课程设计的目的课程设计的目的主要是通过设计环节的实际训练,加深学生对该课程基础知识和基本理论的理解和掌握,培养学生综合运用所学知识的能力,使之在理论分析、设计、计算、制图、运用标准和规范、查阅设计手册与资料以及计算机应用能力等方面得到初步训练,促进学生养成严谨求实的科学态度。
1.2课程设计的技术要求(1)设计一个能测量八名运动员短跑成绩的数字秒表。
要求用四位数码管显示时间,格式为00:00s。
(2)秒表设置九个开关输入(清零开关一个和记录开关八个)。
按下记录开关,将当前计数时间暂存并显示在数码管上。
(3)确定设计方案,按功能模块的划分选择元器件和中小规模集成电路,设计分电路,画出总体电路原理图,阐述基本原理。
2数字秒表的设计与制作2.1设计方案选择本系统主要由555 定时器构成的多谐震荡电路,以74LS90芯片为核心的多功能计数器,以及以74LS48 和LED 共阴极数码管为核心的译码驱动显示电路等组成。
通过555 定 时电路产生一个100HZ 的脉冲信号(其对应最小计时单位0.01S ),在脉冲发生由高电平到低电平变化时驱动低位计数器进行计数,在驱动译码显示的同时,满进制向高位发出进位信号并自身清零。
可以通过外围控制电路实现对秒表的清零和显示暂停等功能。
本设计可以有以下几种常见的设计思路:其一是始终发生电路采用固定频率的晶振实现脉冲信号的产生,在经过分频器实现分频,最终得到100HZ 的信号。
其二是计数电路的设计可以通过74LS92 和74LS160实现,也可以由74LS290 实现,最终确定采用74LS90方案,因为此计数不需要进行置数(除了清零),因此采用74LS90比较简洁。
2.2系统模块组成数字秒表主要由多谐振荡电路、计数电路、寄存电路和译码显示电路组成。
系统组成框图如下图所示:图2.2.1 系统组成框图2.3系统功能要求(1)具有始终秒表系统功能要求显示功能,用四个数码管分别显示秒和分;(2)具有3种功能状态:系统时间运行状态,系统时间至零状态,暂存显示状态,通过输入控制信号可以使系统在这3个状态之间切换,使数码管显示相应状态的时间;(3)秒采用100进制计数,当计数到99时又会恢复为00;百分秒采用100进制计数,当计数到99时,向上进位并恢复00。
多功能电子秒表课程设计说明书

目录1绪论 (2)1.1课题背景 (2)1.2秒表的发展趋势 (2)1.3本课题研究内容 (3)2研究方案与预期成果 (3)2.1研究方案 (3)2.1.1时钟电路方案 (3)2.1.2显示电路方案 (3)2.1.3系统主控制电路 (4)2.2预期成果 (4)3各芯片引脚图 (4)3.174LS192芯片 (4)3.274LS47芯片 (5)3.3七段数码管显示器 (6)4系统电路图 (8)5仿真软件介绍 (10)5.1EW B软件介绍 (10)5.2EW B32软件主界面窗口 (11)5.3菜单栏 (11)6电路仿真 (14)6.1分析仿真 (14)6.2分析 (14)6.3误差分析 (15)7系统硬件焊接与调试 (15)7.1焊接步骤 (15)7.2元件清单 (15)7.3实物图 (16)7.4硬件电路测试 (18)多功能电子秒表的设计摘要:随着电子技术的发展和应用领域的扩大与深入,电子技术的重要性日益突出。
作为一个学电子信息专业的学生,我们必须不断地了解更多的新产品信息,就更加要求我们对专业知识有更深的理解,更强的实验操作能力。
本设计的多功能电子秒表系统以74ls192芯片为中心器件,利用其既能正计时又能倒计时的原理,结合74ls47译码器、显示管来设计多功能电子秒表。
这就需要了解组合逻辑电路和时序逻辑电路;了解集成电路的引脚安排;了解各种计数、译码芯片的逻辑功能及使用方法;了解数字钟的原理。
本次设计是基于100进制电子数字钟的原理,实现具有100进制正计时与倒计时的电子钟,它主要由脉冲、100进制加减法器74LS192、译码器74LS47各功能模块在Ewb软件中将其仿真模拟出来,然后将其打包成可调用的元件,这时,再进行时序仿真、引脚锁定和嵌入逻辑分析仪之后,就编译下载至硬件中,选择正确的模式和各种设置后即可实现这次设计所要求的功能。
关键词:多功能电子秒表;100进制加减法计数;74ls192芯片;74ls47芯片。
电子秒表课程设计说明书

目录目录 0摘要 (1)正文 (2)1设计目的和要求 (2)2设计原理 (2)3设计内容 (2)(1)程序源代码 (3)(2)调试分析过程描述 (9)(3)结果分析 (11)总结与致谢 (12)参考文献 (13)附录 (14)摘要EDA(Electronic Design Automation)电子设计自动化,就是以大规模可编程器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,通过相关的软件,自动完成用软件方式设计的电子系统到硬件系统,最终形成集成电子系统或专用集成芯片。
本次课程设计利用Quartus II为设计软件、Verilog HDL 为硬件描述语言,结合所学的数字电路的知识设计一个24时电子秒表,具有正常时、分、秒计时,动态显示,清零等功能。
利用硬件描述语言Verilog HDL对设计系统的各个子模块进行逻辑描述,采用模块化的设计思想完成顶层模块的设计,通过软件编译、逻辑化简、逻辑分割、逻辑综合优化、逻辑布线、逻辑仿真,最终将设计的软件系统下载设计实验系统,对设计的系统进行硬件测试。
关键词:EDA;Quartus II;Verilog HDL;电子秒表正文1设计目的和要求(1)具有时、分、秒计数功能,以24h循环计时的电子钟。
(2)计时结果要用6个数码管分别显示时、分、秒的十位和个位。
(3)具有清零的功能。
(4)熟练掌握分频器的使用。
(5)熟练掌握各种计数器的使用,能用计数器构成二十四进制、六十进制等所需进制的计数器。
2设计原理电子秒表以其显示时间的直观性、走时准确性作为一种计时工具,电子秒表的基本组成部分离不开计数器,在控制逻辑电路的控制下完成预定的各项功能。
电子秒表的基本原理方框图如下图所示:本数字系统实现电子秒表的基本的计时功能,输入50MHz的时钟,采用24小时制计时,能显示时、分、秒。
本电子秒表实际上是一个对标准频率(1Hz)进行计数的计数电路。
由于计数的起始时间不可能与标准时间一致,故需要在电路上加一个校时电路,同时标准的50MHz时间信号必须做到准确稳定。
数字秒表课程设计说明书

1概述1.1课程设计的目的课程设计的目的主要是通过设计环节的实际训练,加深学生对该课程基础知识和基本理论的理解和掌握,培养学生综合运用所学知识的能力,使之在理论分析、设计、计算、制图、运用标准和规范、查阅设计手册与资料以及计算机应用能力等方面得到初步训练,促进学生养成严谨求实的科学态度。
1.2课程设计的技术要求(1)设计一个能测量八名运动员短跑成绩的数字秒表。
要求用四位数码管显示时间,格式为00:00s。
(2)秒表设置九个开关输入(清零开关一个和记录开关八个)。
按下记录开关,将当前计数时间暂存并显示在数码管上。
(3)确定设计方案,按功能模块的划分选择元器件和中小规模集成电路,设计分电路,画出总体电路原理图,阐述基本原理。
2数字秒表的设计与制作2.1设计方案选择本系统主要由555 定时器构成的多谐震荡电路,以74LS90芯片为核心的多功能计数器,以及以74LS48 和LED 共阴极数码管为核心的译码驱动显示电路等组成。
通过555 定 时电路产生一个100HZ 的脉冲信号(其对应最小计时单位0.01S ),在脉冲发生由高电平到低电平变化时驱动低位计数器进行计数,在驱动译码显示的同时,满进制向高位发出进位信号并自身清零。
可以通过外围控制电路实现对秒表的清零和显示暂停等功能。
本设计可以有以下几种常见的设计思路:其一是始终发生电路采用固定频率的晶振实现脉冲信号的产生,在经过分频器实现分频,最终得到100HZ 的信号。
其二是计数电路的设计可以通过74LS92 和74LS160实现,也可以由74LS290 实现,最终确定采用74LS90方案,因为此计数不需要进行置数(除了清零),因此采用74LS90比较简洁。
2.2系统模块组成数字秒表主要由多谐振荡电路、计数电路、寄存电路和译码显示电路组成。
系统组成框图如下图所示:图2.2.1 系统组成框图2.3系统功能要求(1)具有始终秒表系统功能要求显示功能,用四个数码管分别显示秒和分;(2)具有3种功能状态:系统时间运行状态,系统时间至零状态,暂存显示状态,通过输入控制信号可以使系统在这3个状态之间切换,使数码管显示相应状态的时间;(3)秒采用100进制计数,当计数到99时又会恢复为00;百分秒采用100进制计数,当计数到99时,向上进位并恢复00。
电子秒表格说明书

目录第一章1.1背景1.2项目和索引11.3功能。
1第二章道路的盒子⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯ 22.1路径盒原理剖析3.2控制电路6三3个分支。
74.4示范路第4章整机道路竣工⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯ 105.1整机电路原理106.2整个电路的工作原理第5章道路设施127.1合理布局12五2分。
13⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯⋯ 14 珍藏与体验15到。
17参照文件18附件19课程设计作业电子秒表课程设计功能:直接显示“秒”和“秒”的电子秒表“毫秒”,功能计不时间(0-999S)。
技术指标技术指标:一计数范围从000到999。
2拥有启动、暂停、停止功能。
工作量是三周3月7日3月8日,我们检查了数据并剖析了原理在3月9日列出组件并购置组件原理框图绘制于3月10日工作计划是在3月11日至12日安装和调试电路3月20日3月21日工程查收3月22日至3月23日的最后检查和书面指示3月24日准备防守3月25日辩论讲课老师建议讲课老师署名:详细日期第一章前言1.1背景跟着电子技术的发展,电子技术在各个领域的应用愈来愈宽泛。
人们的认同对信息技术的认识也渐渐加深。
作为一个专业的大学生电子学,我们不单要有扎实的知识基础又有知识,又有好的课程这方面的知识,还要有很强的着手能力。
现实也要求我们不单要掌握电子学的理论技术,还要掌握电子电路设计、实验研究与调试技术。
1.2技术指标1计数范围:000~999。
2拥有启动功能,停下来。
1.3设计功能电子秒表是一种重要的时间记录工具宽泛应用于各行各业。
可宽泛应用于工业生产中运动目标检测速度和加快度的丈量也可用于考证牛顿第二定律,机械能守恒和其余物理实验它合用于丈量短时间间隔的状况下高精度。
作为丈量工具,电与其余通用计时工具对比,子秒表拥有方便、正确、可比性强不单能够提升正确度并且能够大大减少操作人员的负担,降低成本错误率。
第二章方框图设计2.1回路框图电路框图主要由脉冲构成产生电路、控制分频电路、计数电路电路及解码驱动电路以及显示电路等单元电路。
秒表设计说明

60秒秒表一、概要该设计主要有秒脉冲发生电路和计数显示电路组成。
计数电路通过对秒脉冲进行计数,通过CD4511进行译码并且显示,所用到的元件有,NE555、74LS160、74LS03和7段共阴数码管。
二、实现功能1、该秒表可以实现暂停、停止。
2、实现清零。
3、用异步清零端设计6进制计数器,显示选用数码管完成。
三、设计原理框图555时基产生的秒脉冲信号发送给计数器,计数器对秒脉冲信号进行计数,通过译码及显示电路显示0~9。
原理框图如下:四、单元电路及其说明1、秒脉冲发生电路原理图如下(1)、说明通过555时基可以产生比较精准的秒脉冲,通常获得秒脉冲的方式有晶体振荡器、RC振荡电路和555时基电路。
晶体振荡器产生的脉冲通常要经过多级分频才能获得我们所需要的秒脉冲,比较麻烦。
采用555时基能够比较方便的获得所需要的秒脉冲。
2、计数及显示电路原理图如下;(1)、计数芯片采用的是74LS160同步十进制加法计数器。
两片芯片中一片用作十进制计数器,另外一片通过异步清零方式组成6进制加法计数器。
通过第一片的15脚(CO)和第二片的1脚(CLK)级联,组成60进制计数器。
芯片的74LS160输出通过CD4511芯片驱动数码管显示,当前计数。
数码管采用七段共阴数码管。
CC40192时同步十进制可逆计数器,具有双时钟输入,并具有清除和置数等功能。
五、总体原理图如下六、总结在设计此次的秒表的过程中遇到的问题有:(1)、在布局布线的过程中有一根线没连接在一起,导致最后调试的时候出现了问题,经过检查才发现。
(2)、Multisim12和实际的做东西是有区别的,不能太过的相信仿真,仿真毕竟是最理想化的情况。
这次就出现了仿真不行,而我用面包板搭建电路确可行的情况。
(3)、在本次设计的过程中,在我最终画电路图的时候,因为忘记了放置一个开关而使本应有暂停功能的秒表而变成了没有暂停的功能。
最后总结下本次设计的心得:本次设计后我对计数器以及数电设计的认识更加的深刻,在设计的时候一定要多看看数据手册,对照着手册才能更好的设计。
数字秒表设计

数字秒表一、设计思路:该秒表由6位七段LED显示器显示,从左到右依次是分的左右位(minl、minr),秒的左右位(secl、secr),毫秒的左右位(sec01l,sec01r),其中显示分辩率为0.01 s,计时范围是0—59分59秒99毫秒。
具有清零、启动计时、停止计时等控制功能。
控制开关为两个:启动(继续)/暂停记时开关和复位开关。
计时信号为时钟信号,精度为0.01s。
因此,输入端有三个分别为时钟输入端clk,启/停输入端en,清零输入端reset,输出端有六个分别为分的十位个位,秒的十位个位,毫秒的十位个位,输出给六个七段LED数码管。
该系统可以分为两个模块计时模块和显示模块。
计时模块执行计时功能,经计数器累加计数,形成六十进制的计数器和一百进制的计数器。
显示模块的作用是将计时值在LED数码管上显示出来。
计时电路产生的值经过BCD七段译码后,驱动LED数码管。
二、各模块原理图计时器模块顶层设计实体原理图三、各模块源程序--计时器模块library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity miaobiao isport(clk,en,reset:in std_logic; --时钟频率要求100Hz sec01l,sec01r:out integer range 0 to 9; --0.01秒的左右显示位 secl,secr:out integer range 0 to 9; --秒的左右显示位minl,minr:out integer range 0 to 9); -- 分的左右显示位end entity miaobiao;architecture art of miaobiao issignal sec01t:integer range 0 to 99;signal sect: integer range 0 to 59;signal mint: integer range 0 to 59;beginprocess(clk,en,reset) isbeginif(reset='1') thensec01t<=0;sect<=0;mint<=0;elsif(clk'event and clk='1') thenif(en='1') thenif(sect=59 and sec01t=99) thensec01t<=0;sect<=0;mint<=mint+1;elsif(sec01t=99) thensec01t<=0;sect<=sect+1;mint<=mint;elsesec01t<=sec01t+1;sect<=sect;mint<=mint;end if;end if;end if;end process;sec01l<=sec01t/10;sec01r<=sec01t rem 10;secl<=sect/10;secr<=sect rem 10;minl<=mint/10;minr<=mint rem 10;end architecture art;--秒表显示模块library ieee;use ieee.std_logic_1164.all;entity display isport(clk:in std_logic;data:in integer range 0 to 9;displayo:out std_logic_vector(6 downto 0)); end entity display;architecture art of display isbeginprocess(clk,data)beginif(clk'event and clk='1') thencase data iswhen 0=>displayo<="0111111";when 1=>displayo<="0110000";when 2=>displayo<="1101101";when 3=>displayo<="1111001";when 4=>displayo<="0110011";when 5=>displayo<="1011011";when 6=>displayo<="0011111";when 7=>displayo<="1110000";when 8=>displayo<="1111111";when 9=>displayo<="1110011";when others=>displayo<="0000000";end case;end if;end process;end architecture art;--顶层模块library ieee;use ieee.std_logic_1164.all;entity topmiaobiao isport(clk,en,reset:in std_logic;displaysec01l:out std_logic_vector(6 downto 0); displaysec01r:out std_logic_vector(6 downto 0); displaysecl:out std_logic_vector(6 downto 0); displaysecr:out std_logic_vector(6 downto 0); displayminl:out std_logic_vector(6 downto 0); displayminr:out std_logic_vector(6 downto 0)); end entity topmiaobiao;architecture art of topmiaobiao iscomponent miaobiao isport(clk,en,reset:in std_logic;sec01l,sec01r:out integer range 0 to 9;secl,secr:out integer range 0 to 9;minl,minr:out integer range 0 to 9);end component miaobiao;component display isport(clk:in std_logic;data:in integer range 0 to 9;displayo:out std_logic_vector(6 downto 0)); end component display;signal sec01l,sec01r:integer range 0 to 9;signal secl,secr:integer range 0 to 9;signal minl,minr:integer range 0 to 9;beginmiaobcontr:miaobiao port map(clk=>clk,en=>en,reset=>reset, sec01l=>sec01l,sec01r=>sec01r,secl=>secl,secr=>secr,minl=>minl,minr=>minr);a1:display port map(clk,sec01l,displaysec01l);a2:display port map(clk,sec01r,displaysec01r);a3:display port map(clk,secl,displaysecl);a4:display port map(clk,secr,displaysecr);a5:display port map(clk,minl,displayminl);a6:display port map(clk,minr,displayminr);end architecture art;四、时序仿真图计时模块时序仿真图五、心得体会开始做设计时总是会犯一些错误,经过不停的改错不停的编译才能得到正确的程序。
数字秒表系统的设计说明

基于单片机的数字秒表系统设计1.引言在科技高度发展的今天,集成电路和计算机应用得到了高速发展。
尤其是计算机应用的发展,它在人们日常生活中已经崭露头角。
大多数电子产品多是由计算机电路组成,如:手机、MP3等。
而且将来的不久它们的身影将会更频繁的出现在我们身边,各种家用电器多会实现微电脑技术。
电脑各部分在工作时多是以时间为基准的。
本文就是基于计算机电路的时钟脉冲信号、状态控制等原理设计出来的数字秒表,秒表在很多领域充当一个很重要的角色。
在各种比赛中对秒表的精确度要求更高,尤其是一些科学实验,它们对时间精确度达到了几纳秒的要求。
本设计是设计一个单片机控制的数字电子秒表本秒表采用8051为中心器件,利用其定时器/计数器定时和记数的原理,结合显示电路、电源电路、LED数码管以及外部中断电路来设计计时器。
将软、硬件有机地结合起来,使得系统能够实现两位LED显示,显示时间为00~99秒,每秒自动加1,能正确地进行计时,且具有快加功能。
其软件系统采用汇编语言编写程序,包括显示程序,定时中断服务,快加程序,外部中断服务程序,延时程序等,并在keil下调试通过,硬件电路通过proteus模拟连接,并与软件相结合,调试修改,使达到预期的目的。
2.设计方案及原理2.1该数字秒表所要实现的功能。
设计一个秒表,按“开始”按键,开始计数,数码管显示从00每秒自动加一;按“复位”按键,系统清零,数码管显示00;按“暂停”按键,系统暂停计数,数码管显示当前的计数;按“快加”按键,系统每10ms快速加一,即数码管显示在原先的计数上快速加一。
(1)使用两位数码管显示,显示时间00~99秒;(2)正常计数时,每秒自动加一;(3)一个开始按键,一个复位按键,一个暂停按键和一个快加按键;(4)实现计数、复位、清零和快加功能;(5)单片机通电后,首先初始化,然后进行对按键扫描。
开始键用来控制秒表工作的开始;暂停键用来暂停程序的运行;快加键控制快速计数的开始,利用暂停键停止;复位键是用来对程序复位用的,当程序出现死循环或想从00开始重新计时,按下复位键可返回程序开始,重新执行。
实用性秒表设计讲解

单片机课程设计报告实用型秒表设计学院:电气与信息工程学院专业:电气工程及其自动化班级:电自0808班指导老师:肖昌炎王亚1.绪论1.1、课程设计任务设计一实用型秒表,除秒表和倒计时的基本功能(启动、暂停、继续、复位和设定)之外,还要求能显示北京时间。
1.2、课程设计要求1)采用LED,显示北京时间(可不通过DS1307芯片获取数据)。
时间初始值由程序在编写时写入。
2)秒表具有完整功能,包括启动、暂停、继续、复位等。
3)倒计时具有完整功能,包括启动、暂停、继续、复位、设定等。
4) 可以实现模式之间的任意切换,各功能之间的运行相互不受影响。
1.3、课程设计思路数据显示考虑使用动态显示,通过设定模式切换实现按键功能的复合化。
考虑到1.4、课程设计目的a.掌握实用型数字式秒表控制的基本原理,并能够熟练使用51系列C语言对基于51系列内核的单片机编写程序,进一步对所学的单片机知识进行掌握与实际应用。
b. 熟悉Keil μVision4软件的基本操作和运行环境,学会在该软件环境下对编写的文件进行Proteus仿真与下板。
c.锻炼自己获取信息、分析实际问题的能力和能够独立自主地思考和解决问题的能力。
2. 系统分析与整体设计方案2.1系统分析通过分析可知,所要设计的实用型秒表需要具备的功能有:能显示时钟;具有实用秒表的开始、暂停、继续及复位;和倒计时的开始、暂停、继续及复位。
同时,考虑到倒计时的时长需要人工设置,所以倒计时还兼具有初始化功能。
因此,我们在最开始做模块规划的时候,决定将整个程序划分成如下几个模块:2.1.1、动态显示模块:板上的数码管采用P0口做数码显示输出,P2和P3的各四根口线控制八个数码管的位选信号,因此,根据数码管的连接方式分析,用动态显示的方法来实现数码管相关信息的显示是比较可行的。
并且,通过设置相应的模式切换变量可以实现对数码管显示数据的切换。
2.2.2、时钟模块由于在功能中提到能显示时钟,故而时钟模块是不能缺少的。
数字式秒表说明书

《单片机技术》课程设计说明书数字式秒表学院:电气与信息工程学院学生姓名:指导教师:职称/学位讲师/硕士专业:自动化班级:学号:完成时间:2016年6月湖南工学院《单片机技术》课程设计课题任务书学院:电气与信息工程学院专业:自动化摘要电子技术的飞速发展,使电子产品渗透进了社会的各个方面。
数字式秒表的设计使人们对于时间有了更直观,更精确的认识。
相比于传统秒表,数字电子钟的设计具有走时准确,显示直观,稳定的优点。
数字式秒表的设计方案:采用以AT89S52单片机为核心,加上按键模块和数码管显示模块等来实现硬件电路的设计;软件设计则通过汇编语言来实现,有监控程序、显示程序、键盘程序、中断程序、进位程序和延时程序等组成。
数字式秒表设计中共有6个按键,1个电源按键,1个复位按键,4个独立按键:S1、S2、S3和S4。
按下S1,秒表开始计时;按下S2,暂停;按下S3,继续计时;按下S4,秒表停止计时。
关键词:数字式秒表;单片机;数码管显示目录1 设计课题任务、功能、性能要求说明及总体方案介绍___________________ 11.1 设计课题任务 _______________________________________________ 11.2 功能、性能要求说明 _________________________________________ 11.3 设计课题总体方案介绍及工作原理说明 _________________________ 12 设计课题硬件系统的设计___________________________________________ 22.1 设计课题硬件系统各模块功能介绍 _____________________________ 22.2 设计课题电路原理图、实物图 _________________________________ 52.3 设计课题元器件清单 _________________________________________ 53 设计课题软件系统的设计___________________________________________ 63.1 设计课题使用单片机资源的情况 _______________________________ 63.2 设计课题软件系统各模块功能介绍 _____________________________ 63.3 设计课题软件系统程序流程框图 _______________________________ 73.4 设计课题软件系统程序清单 ___________________________________ 94 设计课题操作使用说明、测试过程、误差分析、设计结论、设计体会____ 104.1 设计课题的操作使用说明 ____________________________________ 104.2 设计课题的测试过程 ________________________________________ 104.3 设计课题的误差分析 ________________________________________ 114.4 设计课题的设计结论、设计体会 ______________________________ 11结束语_____________________________________________________________ 13参考文献___________________________________________________________ 14致谢_____________________________________________________________ 15附录_____________________________________________________________ 16附录A 原理图_________________________________________________ 16附录B 实物图_________________________________________________ 17附录C 元器件清单_____________________________________________ 18附录D 程序清单_______________________________________________ 191 设计课题任务、功能、性能要求说明及总体方案介绍1.1 设计课题任务设计一个具有特定功能的数字式秒表。
00-99秒表设计说明书

单片机课程设计说明书电子秒表的设计。
目录一、设计的任务与要求二、硬件电路设计三、软件设计流程四、设计调试过程五、源程序代码六、收获体会七、参考文献一、设计的任务与要求用AT89C51设计一个2位的LED数码显示作为“秒表”:显示时间为00—99秒,每秒自动加1,另设计一个“开始”键和一个“复位”键。
按键说明:按“开始”按键,开始计数,数码管显示从00开始每秒自动加一,再按“开始”键,停止计数;按“复位”按键,系统清零,数码管显示00。
二、硬件电路设计AT89C52是一个低电压,高性能CMOS 8位单片机,片内含8k bytes的可反复擦写的Flash只读程序存储器和256 bytes的随机存取数据存储器(RAM),器件采用ATMEL公司的高密度、非易失性存储技术生产,兼容标准MCS-51指令系统,片内置通用8位中央处理器和Flash存储单元,AT89C52单片机在电子行业中有着广泛的应用。
AT89C52引脚图主要功能特性:1、兼容MCS51指令系统2、8k可反复擦写(大于1000次)Flash ROM;3、32个双向I/O口;4、256x8bit内部RAM;5、3个16位可编程定时/计数器中断;6、时钟频率0-24MHz;7、2个串行中断,可编程UART串行通道;8、2个外部中断源,共8个中断源;9、2个读写中断口线,3级加密位;10、低功耗空闲和掉电模式,软件设置睡眠和唤醒功能;11、有PDIP、PQFP、TQFP及PLCC等几种封装形式,以适应不同产品的需求。
本设计利用AT89C52单片机的定时器/计时器定时和计数的原理,使其能精确计时。
设计中包括硬件电路的设计和系统程序的设计,其硬件电路主要有主控制器、计时与显示电路和复位电路等。
主控制器用AT89C52,显示电路采用共阴极LED数码管显示计时时间。
利用中断系统使其能实现开始暂停的功能。
P3.0,P3.1,RST开口接四个按钮,分别实现开始,暂停,复位的功能。
基于FPGA数字秒表设计说明

目录1.秒表设计要求 (1)2.设计思路 (1)2.1功能模块 (1)2.1.1分频器 (1)2.1.2计数器 (1)2.1.3数据锁存器 (2)2.1.4控制器 (2)2.1.5扫描显示的控制电路 (2)2.1.6显示电路 (3)2.1.7按键消抖电路 (4)3.电路实现 (5)4.程序仿真 (17)4.1分频器 (17)4.1.1计数器电路综合 (19)4.1.2计数器电路仿真 (20)4.2同步计数器 (22)4.2.1计数器实现 (22)4.2.2计数器仿真 (26)4.2.3同步计数器电路综合 (28)4.3按键消抖电路 (29)4.3.1按键消抖电路实现 (29)4.3.2按键消抖电路仿真 (31)4.3.3按键消抖电路综合 (33)4.4八段译码器 (34)4.4.1八段译码器实现 (34)4.4.2八段译码器仿真 (35)4.4.3八段译码器电路综合 (36)4.5控制器 (38)4.5.1控制器 (38)4.5.1控制器仿真 (40)4.5.3控制器电路综合 (42)5.2View Technology Schematic : (43)5.3管脚锁定: (44)6.实验结论 (45)1.秒表设计要求(1)秒表的计时范围为00:00:00 ~ 59:59:99。
(2)两个按钮开关Start/Stop和Split/Reset,控制秒表的启动、停止、分段和复位:在秒表已经被复位的情况下,按下“Start/Stop”键,秒表开始计时。
在秒表正常运行的情况下,如果按下“Start/Stop”键,则秒表暂停计时;再次按下该键,秒表继续计时。
在秒表正常运行的情况下,如果按下“Split/Reset”键,显示停止在按键时的时间,但秒表仍然在计时;再次按下该键,秒表恢复正常显示。
在秒表暂停计时的情况下,按下“Split/Reset”键,秒表复位归零。
2.设计思路2.1功能模块2.1.1分频器对晶体振荡器产生的时钟信号进行分频,产生时间基准信号2.1.2计数器对时间基准脉冲进行计数,完成计时功能2.1.3数据锁存器锁存数据使显示保持暂停2.1.4控制器通过产生锁存器的使能信号来控制计数器的运行、停止以及复位设计分析:2.1.5扫描显示的控制电路包括扫描计数器、数据选择器和7段译码器,控制8个数码管以扫描方式显示计时结果,原理图如下:实验电路板上的按键2.1.6显示电路2.1.7按键消抖电路消除按键输入信号抖动的影响,输出单脉冲实验板上的数码管为共阳LED数码管按键按下时,FPGA的输入为低电平;松开按键时,FPGA的输入为高电平但是在按下按键和松开按键的瞬间会出现抖动现象2.2电路框图3.电路实现---------------------------------------------------------------------------------- -- Company:-- Engineer:---- Create Date: 09:08:39 03/12/2011-- Design Name:-- Module Name: stopwatch_1 - Behavioral-- Project Name:-- Target Devices:-- Tool versions:-- Description:---- Dependencies:---- Revision:-- Revision 0.01 - File Created-- Additional Comments:------------------------------------------------------------------------------------ library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;---- Uncomment the following library declaration if instantiating---- any Xilinx primitives in this code.--library UNISIM;--use UNISIM.VComponents.all;entity stopwatch_1 isPort (Clk : in STD_LOGIC;start_stop : in STD_LOGIC;split_reset : in STD_LOGIC;ncs : out STD_LOGIC;s : out STD_LOGIC_VECTOR(2 downto 0);seg : out STD_LOGIC_VECTOR (7 downto 0));end stopwatch_1;architecture Behavioral of stopwatch_1 issignal k1,k2,k3,k4: STD_LOGIC;signal cnt_1,cnt_2 : STD_LOGIC_VECTOR(1 downto 0);signal start_stop_out,split_reset_out: STD_LOGIC;signal count: STD_LOGIC_VECTOR(15 downto 0):=(others=>'0');signal clk_1k: STD_LOGIC;signal z0,z1,z2,z3,z4,z5,z6,q1,q2,q3,q4,q5,q6 : STD_LOGIC_VECTOR(3downto 0):=(others=>'0');signal count_2: STD_LOGIC_VECTOR(2 downto 0 ):=(others=>'0');signal in_7: STD_LOGIC_VECTOR(3 downto 0);signal sreg: STD_LOGIC_VECTOR(2 downto 0):="111";signal snext: STD_LOGIC_VECTOR(2 downto 0);Begin---------------------------------------------------------为三八译码器置入使能信号ncs <= '0';---------------------------------------------------------分频电路process(clk)beginif rising_edge(clk) thenif count = 47999 thencount <=(others=>'0');elsecount <= count+1;end if;end if;end process;clk_1k <= count(15);---------------------------------------------------------同步计数电路process(clk_1k,sreg(2))beginif rising_edge(clk_1k) thenif sreg(2) = '1' thenz0<=(others=>'0');z1<=(others=>'0');z2<=(others=>'0');z3<=(others=>'0');z4<=(others=>'0');z5<=(others=>'0');z6<=(others=>'0');elsif sreg(1) = '1' thenz0 <= z0+1;if z0 = 9 thenz0 <=(others=>'0');z1 <= z1+1;if z1 = 9 thenz1 <=(others=>'0');z2 <= z2+1;if z2 = 9 thenz2 <=(others=>'0');z3 <= z3+1;if z3 = 9 thenz3 <= (others=>'0');z4 <= z4+1;if z4 = 5 thenz4 <= (others=>'0');z5 <= z5+1;if z5 = 9 thenz5 <= (others=>'0');z6 <= z6+1;if z6 = 5 thenz6 <= (others=>'0');end if;end if;end if;end if;end if;end if;end if;end if;end if;end process;---------------------------------------------------------扫描计数器process(clk_1k)beginif rising_edge(clk_1k) thencount_2 <= count_2+1;end if;end process;s <= count_2;---------------------------------------------------------锁存器process(sreg(0),z1,z2,z3,z4,z5,z6)beginif sreg(0) = '1' thenq1 <= z1;q2 <= z2;q3 <= z3;q4 <= z4;q5 <= z5;q6 <= z6;end if;end process;--------------------------------------------------------- process(count_2,q1,q2,q3,q4,q5,q6)begincase count_2 iswhen "000" => in_7 <= q1;when "001" => in_7 <= q2;when "011" => in_7 <= q3;when "100" => in_7 <= q4;when "110" => in_7 <= q5;when "111" => in_7 <= q6;when others => in_7 <= "1111";end case;end process;---------------------------------------------------------八段译码器process(in_7)begincase in_7 iswhen "0000" => seg <="00000011";when "0001" => seg <="10011111";when "0010" => seg <="00100101";when "0011" => seg <="00001101";when "0100" => seg <="10011001";when "0101" => seg <="01001001";when "0110" => seg <="01000001";when "0111" => seg <="00011111";when "1000" => seg <="00000001";when "1001" => seg <="00001001";when others => seg <="11111101";end case;end process;---------------------------------------------------------按键去抖电路process(clk_1k,start_stop)beginif clk_1k'event and clk_1k='0' thenif cnt_1 = 3 thenk1 <= '1';elsek1 <= '0';cnt_1 <= cnt_1+1;end if;k2 <= k1;end if;if start_stop = '0' thencnt_1 <= "00";end if;end process;start_stop_out <= not k1 and k2;process(clk_1k,split_reset)beginif clk_1k'event and clk_1k='0' thenif cnt_2 = 3 thenk3 <= '1';elsek3 <= '0';cnt_2 <= cnt_2+1;end if;k4 <= k3;end if;if split_reset = '0' thencnt_2 <= "00";end if;end process;split_reset_out <= not k3 and k4;---------------------------------------------------------控制器process(clk_1k,start_stop_out,split_reset_out)beginif rising_edge(clk_1k) thensreg <= snext;end if;end process;process(start_stop_out,split_reset_out,sreg)begincase sreg iswhen "111" => i f start_stop_out = '1' and split_reset_out = '0' then snext <= "011";else snext <= sreg;end if;when "011" => i f start_stop_out = '1' and split_reset_out = '0' then snext <= "001";elsif start_stop_out = '0' and split_reset_out = '1' then snext <= "010";else snext <= sreg;end if;when "001" => i f start_stop_out = '0' and split_reset_out = '1' then snext <= "111";elsif start_stop_out = '1' and split_reset_out = '0' then snext <= "011";else snext <= sreg;end if;when "010" => i f start_stop_out = '0' and split_reset_out = '1' then snext <= "011";else snext <= sreg;end if;when others => snext <= "111";end case;end process;end Behavioral;注:控制器设计时,巧妙地将状态编码和控制器输出的控制信号编码合二为一,即状态编码也是控制信号编码,使得程序形式上更为简单、清晰。
秒表设计说明书讲解

课程设计说明书用LED数码管显示的秒表设计专业新能源科学与工程学生姓名董爱林班级能源132学号**********指导教师张兰红完成日期2015年12月18日用LED数码管显示的秒表设计摘要:对采用LED数码管显示的秒表进行了设计。
所设计的秒表,可通过两位数码管显示00-59。
每秒自动加一。
在对系统功能分析的基础上,采用AT89C52单片机。
相对而言比较简单,贴近书本,比较熟悉。
对所要实现的功能也能很好地满足,焊接也比较简单。
设计主要采用硬件和软件两部分。
硬件包含数码管按钮模块、单片机控制模块、数码管显示模块、驱动电流放大模块。
按钮模块采用独立式按键,控制模块选用AT89C52单片机,显示模块采用两位数码管,放大模块选用NPN三极管。
软件采用模块化的程序,分为主程序和定时器计时服务子程序。
在多孔板上制作了LED数码管显示的秒表,使用protus完成了系统仿真,对硬件和软件部分分别进行了调试,进行了软硬件联调,最后调试成功样机实物,完成了毕业设计任务书的要求。
关键词:单片机;数码管;秒表A stopwatch design with LED digital tube displayAbstract: For the LED digital tube display of a stopwatch is used for design. The stopwatch, designed by two digital tube display 00 ~ 59. Automatically add a per second.On the basis of the analysis of system function, using the AT89C52 single chip microcomputer. Relatively simple, close to the book, familiar with. To in order to realize the function also can well satisfy, welding is more simple. The design mainly adopts two parts of hardware and software. Hardware consists of digital tube button module, single-chip microcomputer control module, digital tube display module, the drive current amplifier module. Button module USES the independent type key, choose AT89C52 single chip microcomputer control module, using two digital tube display module, amplifier module selects the NPN transistor. Software adopts the modular program, main program and timer timing service subroutine.On the perforated plate made of LED digital tube display a stopwatch, use protus completed system simulation, the hardware and the software part, has carried on the debugging, the software and hardware alignment, the final debugging success physical prototype, completed the graduation design specification requirements.Key Words:Single chip microcomputer; Digital tube; A stopwatch.用LED数码管显示的秒表设计目录1. 概述 (1)1.1 课题研究背景与意义 (1)1.2 课题设计内容 (1)2. 系统设计 (1)2.1 设计方案论证 (1)2.2 系统硬件设计 (2)2.2.1 主控模块 (2)2.2.2 秒表按钮模块 (4)2.2.3 LED数码管显示模块 (5)2.2.4 放大模块 (6)2.3.1 主程序模块 (6)2.3.2 定时器中断程序 (6)3. 系统调试 (8)3.1 硬件调试 (8)3.1.1 静态检查 (8)3.1.2 通电检查 (8)3.2 软件调试及软硬件联调 (8)3.2.1仿真调试 (8)3.2.2 实物调试 (9)3.2.3 实验结果 (9)4. 结束语 (11)附录1:用LED数码管显示的秒表设计原理图图纸 (15)附录2:用LED数码管显示的秒表设计的元器件目录表 (16)附录3:用LED数码管显示的秒表设计程序清单 (17)盐城工学院课程设计说明书( 2015)用LED数码管显示的秒表设计1.概述1.1 课题研究背景与意义随着电子技术的发展,电子技术在各个领域的运用也越来越广泛。
秒表说明书

Xxxxxxxxxxxxxxx大学课程设计题目单片机秒表学院机电工程学院专业XXXXXXXXX班级XXXXXXX姓名XXX指导教师XXXXXX2009 年 1 月16 日课程设计任务书学生姓名: XXXX X 专业班级: XXXXXXXXX指导教师: XXXXXXXXXXXX 工作单位:自动化学院题目: 单片机秒表初始条件:1.运用所学的单片机原理与接口技术知识和数字电路知识;2.Inter公司MCS-51系列单片机,其它外围电路及其相应接口;3.实验板、电源、连接导线、74系列芯片、555芯片等。
要求完成的主要任务:(包括课程设计工作量及其技术要求,以及说明书撰写等具体要求)1.单片机秒表的设计与调试。
2. 本次设计要实现的目标。
a:用一个按键可依次记录两个运动员的成绩。
b:用另一个按键可对前记录的两个运动员成绩进行查询。
3. 撰写课程设计说明书。
4.课程设计说明书要求:引言、设计要求、系统结构、原理设计、各个模块的设计与实现、软件设计、调试过程、收获、体会及总结、参考文献、电路图和源程序。
说明书使用A4打印纸计算机打印或手写,用Protel等绘图软件绘制电子线路图纸。
时间安排:第1天下达课程设计任务书,根据任务书查找资料;第2~4天完成方案论证,单片机系统的设计;第5~8天参考有关文献,完成程序的编写;第9~11天调试及记录问题、结果,检查错误并提出问题;第12~14天结果分析整理、撰写课程设计报告,验收和答辩。
指导教师签名: 2009 年 1 月 5 日系主任(或责任教师)签名: 2009 年 1 月 5 日引言 (1)1 设计意义及要求 (2)2 方案设计 (3)2.1 秒表控制方案的选择 (3)2.2 秒表控制线路图 (3)2.3 主要元器件选择 (4)3 部分电路的功能及介绍 (5)3.1 AT89C51引脚图及功能 (5)4 程序流程图 (7)4.1 内存单元分配 (7)4.2 主程序流程图 (7)4.3 中断服务程序流程图 (8)5 调试与检测 (9)5.1 Keil调试 (9)5.2Proteus调试 (9)6 体会与总结 (10)6.1 工作原理分析 (10)6.2 结束语 (10)致谢 (11)参考文献 (12)附录 1 单片机秒表使用说明 (13)附录 2 源程序 (14)附录 3 电路图 (17)本科生课程设计成绩评定表1、秒表的起源说道秒表的起源,那么就要说到时间的计量。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
课程设计说明书用LED数码管显示的秒表设计专业新能源科学与工程学生姓名董爱林班级能源132学号**********指导教师张兰红完成日期2015年12月18日用LED数码管显示的秒表设计摘要:对采用LED数码管显示的秒表进行了设计。
所设计的秒表,可通过两位数码管显示00-59。
每秒自动加一。
在对系统功能分析的基础上,采用AT89C52单片机。
相对而言比较简单,贴近书本,比较熟悉。
对所要实现的功能也能很好地满足,焊接也比较简单。
设计主要采用硬件和软件两部分。
硬件包含数码管按钮模块、单片机控制模块、数码管显示模块、驱动电流放大模块。
按钮模块采用独立式按键,控制模块选用AT89C52单片机,显示模块采用两位数码管,放大模块选用NPN三极管。
软件采用模块化的程序,分为主程序和定时器计时服务子程序。
在多孔板上制作了LED数码管显示的秒表,使用protus完成了系统仿真,对硬件和软件部分分别进行了调试,进行了软硬件联调,最后调试成功样机实物,完成了毕业设计任务书的要求。
关键词:单片机;数码管;秒表A stopwatch design with LED digital tube displayAbstract: For the LED digital tube display of a stopwatch is used for design. The stopwatch, designed by two digital tube display 00 ~ 59. Automatically add a per second.On the basis of the analysis of system function, using the AT89C52 single chip microcomputer. Relatively simple, close to the book, familiar with. To in order to realize the function also can well satisfy, welding is more simple. The design mainly adopts two parts of hardware and software. Hardware consists of digital tube button module, single-chip microcomputer control module, digital tube display module, the drive current amplifier module. Button module USES the independent type key, choose AT89C52 single chip microcomputer control module, using two digital tube display module, amplifier module selects the NPN transistor. Software adopts the modular program, main program and timer timing service subroutine.On the perforated plate made of LED digital tube display a stopwatch, use protus completed system simulation, the hardware and the software part, has carried on the debugging, the software and hardware alignment, the final debugging success physical prototype, completed the graduation design specification requirements.Key Words:Single chip microcomputer; Digital tube; A stopwatch.用LED数码管显示的秒表设计目录1. 概述 (1)1.1 课题研究背景与意义 (1)1.2 课题设计内容 (1)2. 系统设计 (1)2.1 设计方案论证 (1)2.2 系统硬件设计 (2)2.2.1 主控模块 (2)2.2.2 秒表按钮模块 (4)2.2.3 LED数码管显示模块 (5)2.2.4 放大模块 (6)2.3.1 主程序模块 (6)2.3.2 定时器中断程序 (6)3. 系统调试 (8)3.1 硬件调试 (8)3.1.1 静态检查 (8)3.1.2 通电检查 (8)3.2 软件调试及软硬件联调 (8)3.2.1仿真调试 (8)3.2.2 实物调试 (9)3.2.3 实验结果 (9)4. 结束语 (11)附录1:用LED数码管显示的秒表设计原理图图纸 (15)附录2:用LED数码管显示的秒表设计的元器件目录表 (16)附录3:用LED数码管显示的秒表设计程序清单 (17)盐城工学院课程设计说明书( 2015)用LED数码管显示的秒表设计1.概述1.1 课题研究背景与意义随着电子技术的发展,电子技术在各个领域的运用也越来越广泛。
特别是进入21世纪,由于信息产业的高速发展,秒表的用途也越来越广泛。
作为一个重要的计时工具,秒表在电器制造、电力、工业自动化控制、国防、实验室及科研单位都有广泛运用。
正是由于它的便捷、准确、可比性高等优点,大大减轻了操作人员的负担,降低了错误率。
近年来,计算机技术和半导体技术高速发展,传统的硬件电路设计方法已大大落后于当今技术的发展。
一种崭新的、采用硬件描述语言的设计电路方法悄然兴起。
这是电子设计自动化领域的一次重大迈进。
单片机就是以可编程逻辑器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方法。
通过有关的开发软件,自动完成用软件方式设计。
在设计中还运用到数码管,数码管通常是由多个发光二极管封装在一起组成“8”字型器件,数码管的段加上特定的电压后,这些特定的段就会亮,显示出特定信息。
因而,在楼体墙面、广告招牌、夜总会、会所的门头广告牌中运用到数码管可产生彩虹般绚丽的效果。
本次设计主要完成具备基本功能的电子秒表的理论设计。
1.2 课题设计内容本课题内容是设计并制作一个LED数码管显示的秒表,运用“开始”、“暂停”、“复位”按钮实现秒表的00-59的计时。
设计LED数码管显示的秒表的硬件电路与软件控制程序,对硬件电路与软件程序分别进行调试,并进行软硬件联调,要求获得调试成功的实物。
2 .系统设计2.1 设计方案论证根据设计内容,提出采用单片机芯片为主控电路的设计方案。
由于单片机体积小,重量轻,控制功能强,便于模块化,价格便宜,为学习、应用和开发提供了便利条件。
并且在运行中单片机的可靠性较高,出错几率很小,保证系统运行的安全性。
同时,单片机的使用领域已经十分广泛,也为以后的产品的升级换代提供了便利。
方案设计框图如图2-1所示。
用LED数码管显示的秒表设计图2-1 LED数码管显示的秒表设计系统结构图2.2 系统硬件设计LED数码管显示的秒表设计系统的电路原理图如2-2所示。
系统由主控模块、秒表按钮模块、LED数码管显示模块、放大模块四部分组成。
图2-2 LED数码管显示的秒表设计电路原理图2.2.1 主控模块主控模块原理图如图2-3所示。
主控制器采用STC89C52。
STC89C52是一种带盐城工学院课程设计说明书( 2015)8K字节闪烁可编程可檫除只读存储器的低电压,高性能CMOS 8位的以80C51为内核的单片机。
图2-3 主控模块原理图主控模块里面,除单片机外,还包括时钟电路和复位电路两部分。
A. 时钟电路STC89C52内部有一个用于构成振荡器的高增益反相放大器,引脚XTAL1和XTAL2分别是此放大器的输入端和输出端。
时钟可以由内部方式产生或外部方式产生。
内部方式的时钟电路如图2-4(a) 所示,在XTAL1和XTAL2引脚上外接定时元件,内部振荡器就产生自激振荡。
定时元件通常采用石英晶体和电容组成的并联谐振回路。
晶体振荡频率可以在1.2~12MHz之间选择,电容值在5~30pF之间选择,电容值的大小可对频率起微调的作用。
外部方式的时钟电路如图2-4(b)所示,XTAL2接地,XTAL1接外部振荡器。
对外部振荡信号无特殊要求,只要求保证脉冲宽度,一般采用频率低于12MHz的方波信号。
+5V(a)内部方式时钟电路(b)外部方式时钟电路图2-4 时钟电路B. 复位电路RST引脚是复位信号的输入端。
复位信号是高电平有效,其有效时间应持续24个振荡周期(即二个机器周期)以上。
若使用颇率为6MHz的晶振,则复位信号持续用LED数码管显示的秒表设计时间应超过4us才能完成复位操作。
产生复位信号的电路逻辑如图2-5所示。
整个复位电路包括芯片内、外两部分。
外部电路产生的复位信号(RST)送至施密特触发器,再由片内复位电路在每个机器周期的S5P2时刻对施密特触发器的输出进行采样,然后才得到内部复位操作所需要的信号。
RST/VPDVCCVSS2-5复位信号的电路逻辑图复位操作有上电自动复位相按键手动复位两种方式。
上电自动复位是通过外部复位电路的电容充电来实现的。
这样,只要电源Vcc 的上升时间不超过1ms,就可以实现自动上电复位,即接通电源就成了系统的复位初始化。
按键手动复位有电平方式和脉冲方式两种。
其中,按键电平复位是通过使复位端经电阻与Vcc电源接通而实现的;而按键脉冲复位则是利用RC微分电路产生的正脉冲来实现的。
2.2.2 秒表按钮模块按钮用按键模块来模拟,按键用独立式按键表示,电路如图2-6所示。
图2-6 秒表按钮模块在没有按键按下时,P1口输入的是高电平。
当有键按下时,相应的端口引脚输入低电平。
2.2.3 LED数码管显示模块盐城工学院课程设计说明书( 2015)A.电路连接显示模块采用两位共阳极的数码管,电路连接图如图2-7所示。
图2-7 LED数码管显示模块B.数码管介绍本设计中运用的是3261BS两位共阳极数码管,其引脚图如图2-8所示。
图2-8 3261BS 数码管外部引脚用LED数码管显示的秒表设计数码管按段数分为七段数码管和八段数码管,八段数码管比七段数码管多一个发光二极管单元,即一个小数点。
数码管的8个显示比划“a,b,c,d,e,f,g,dp”;按能显示多少个“8”可分为1位、2位、4位等数码管。
本设计中发光二极管单元的连接方式为共阳极数码管。