彩灯控制器的设计实验报告
微机原理课程设计报告彩灯控制器
专业班级:计算机1301姓名:学号:指导老师:2016年 1 月15 日彩灯控制器一、实验要求、设计目的及功能实现实验要求:现在有8盏彩灯,4个开关作为输入,控制4种闪烁方式。
当没有开关闭合时,4种花型依次轮流闪烁,每种花型闪烁时间持续2分钟,每盏灯点亮的时间为1秒。
只有某一个开关闭合时,对应的花型连续不断的闪烁。
设计实现该功能的电路,并编写完整的代码。
设计目的:(1)巩固和加深微机原理所学知识;(2)学习掌握一般的软硬件的设计方法和查阅、运用资料的能力。
所实现的功能:本课程设计所设计的彩灯控制器的主要功能有:向外发散显示、向中递推显示、0-7依次显示、奇数灯显示,偶数灯显示等功能。
输出采用8个二极管显示,每个灯亮1秒。
二、实验原理在这次课程设计中主要用到了8255A可编程并行接口芯片可用程序来设置芯片的工作方式,通用性强,使用灵活,可为CPU与外设之间提供并行输入/输出的通道。
8254给1S的信号送到8259,再送到8255A控制灯亮的时间。
三、硬件原理图0 0000000000000000000000000008255工作原理及内部结构1.8255A内部结构8255A的内部结构如图2所示,它由4部分组成:(1) 数据总线缓冲器它是一个双向三态8位缓冲器,用作与系统总线连接是的缓冲部件.CPU与8255A之间所有的数据的发送与接收以及CPU向8255A发送的控制信息和8255A向CPU回送的状态信息都是通过它传送的(2)三个8位端口PA、PB、PC端口A(PA口):有一个8位数据输入锁存器和一个8位数据输入锁存/缓冲器;端口B(PB口):有一个8位数据输入缓冲器和一个8位数据输入/输出,锁存/缓冲存储器器;端口C(PC口):有一个8位数据输入缓冲存储器器和一个8位数据输出锁存/缓冲器。
通常PA口与PB口用作输入/输出的数据端口,PC口用作控制和状态信息端口。
PC口可以分为两个四位的端口,每个端口包含一个四位锁存器,可分别同PA 口和PB口配合使用,用作控制信号(输出)或作为状态信号(输入)。
课程设计报告(彩灯控制器)
西安文理学院机械电子工程系课程设计报告专业班级电子信息工程(1)班课程数字电子技术题目彩灯控制器学号学生姓名指导教师2010年 1月西安文理学院机械电子工程系课程设计任务书学生姓名专业班级学号指导教师职称教授教研室课程数字电子技术题目彩灯控制器任务与要求1.掌握NE555定时器的原理及使用方法。
2.学习74LS138译码器的使用方法。
3.掌握CD4040计数器的使用方法。
4.按照设计图连好电路,实现所需功能。
5.攥写课程设计报告开始日期 2009年12月28日完成日期2010年1月8日2010年1月8日目录设计目的 (1)设计任务和要求 (1)总体设计方案 (2)功能模块设计与分析 (5)电路的安装与调试 (8)实验仪器及元器件清单 (11)心得体会 (10)附录一系统电路图 (9)一、设计目的用CD4040芯片、LS138芯片、NE555芯片完成彩灯控制器。
二、设计要求和任务通过循序渐进地独立完成数字电路的设计任务,加深对理论知识的理解,有效地提高了动手能力,独立分析问题、解决问题能力,协调能力和创造性思维能力。
重点提高在数字电路应用方面的实践技能,树立严谨的科学作风,培养综合运用理论知识解决实际问题的能力。
通过电路的设计、安装、调试、整理资料等环节,初步掌握工程设计方法和组织实践的基本技能,逐步熟悉开展科学实践的程序和方法。
基本要求:(一).掌握数字逻辑电路分析和设计的基本方法1.根据设计任务和指标,初选电路;2.通过调查研究、设计计算,确定电路方案;3.选测元器件,安装电路,独立进行试验,并通过调试改进方案;4.分析课程设计结果,写出设计报告。
(二).培养一定自学能力和独立分析问题、解决问题能力1.学会分析、找出解决问题的方法;2.对设计中遇到的问题,能独立思考,查阅资料,寻找答案;3.掌握一些测试电路的基本方法,课程设计中出现一般故障,能通过“分析、观察、判断、试验、再判断”的基本方法独立解决;4.能对课程设计结果进行分析和评价。
彩灯控制器实验报告.
电工电子课程设计实验报告题目名称:彩灯控制器指导教师:姓名:学号:专业班级:日期:前言电子技术课程设计是配合电子技术基础课程与实验教学的一个非常重要的教学环节。
它是电气信息类专业学生的重要基础实践课,也是工科专业的必修课,能巩固电子技术的理论知识,提高电子电路的设计水平,加强综合分析问题和解决问题的能力,进一步培养学生的实验技能和动手能力,启发学生的创新意识及创新思维。
完成本次课程设计,对进行毕业设计及毕业后从事电子技术方面的工作都有很大的帮助。
近年来,由于集成电路的迅速发展,使得数字逻辑电路的设计发生了根本性的变化。
在设计中更多的使用中规模集成电路,不仅可以减少电路组件的数目,使电路简捷,而且能提高电路的可靠性,降低成本。
因此用集成电路来实现更多更复杂的器件功能则成为必然。
现代生活中,彩灯越来越成为人们的装饰品,它不仅能美化环境,渲染气氛,还可用于娱乐场所和电子玩具中,现以该课题为例进行分析与设计可编程的彩灯控制的电路很多,构成方式和采用的集成片种类、数目更是五花八门,而且有专门的可编程循环彩灯控制电路。
绝大多数的彩灯控制电路都是用数字电路来实现的,例如,用中规模集成电路实现的彩灯控制器主要用计数器,译码器,分配器和移位寄存器等集成。
本次设计的可编程彩灯控制电路就是用寄存器、计数器和译码器等来实现,其特点是用发光二极管显示,实现可预置编程循环功能。
目录前言 1一、课题设计任务及要求 .3二、设计目的 3三、优选设计方案 4四、整体设计思想及原理框图 5五、各模块设计与分析 61、脉冲发生电路 72、控制电路和译码电路 103、存储电路 124、数码管显示电路 .14六、元器件清单 15七、安装及调试中出现的问题和解决方法 15八、设计感想 17附录一、实验电路图 20二、实验电路连接图 .21三、参考文献 21一、课题设计任务及要求课题名称:可编程彩灯控制器设计任务及要求:通过对硬件编程,将图形、文字、动画存储在E2PROM中,通过计数器控制图形、文字、动画的地址,在利用显示矩阵显示出来。
数电课程设计报告彩灯控制器
一、设计题目彩灯控制器 要求:1、有10只LED ,L 0、L 1、L2、L3、L4、L5、L6、L7、L8、L 9; 2、显示方式:① 先奇数灯依次熄灭; ② 再偶数灯依次熄灭; ③ 再由L 0 到L 9 灯依次熄灭; 3、显示间隔可自定。
注意:由于本题在Multisim10上做的仿真,所以此题仿真电路只能在Multisim10版本或以上运行。
二、实验器材74161 2个 与非门 1个 函数信号发生器1个+5V 电源 2个 74LS138 5个 与门 若干LED 灯10个非门10个三、设计方案 1、按课程设计题目来看,要求实现彩灯的23 种状态,此处可以用一个23 进制的计数器实现,从0 到22 种状态来控制这23 种状态(00000-10110),然后把计数器用译码器译成可以实现的高低电平。
再写出这23 种状态和计数器数字对应的真值表,计算出逻辑式,便可实现题目要求的彩灯控制。
由上分析可知需要一个23进制的计数器,然后需要一个5-32译码器,然后在由门电路连接LED 灯。
如下图所示:2、各个模块的原理(1)、 23 进制计数器:用2 个74161进行并行连接成23 进制计数器; (2)、5-32 译码器:用5 个74LS138 实现5-32 译码功能; (3)、各门电路的连接:根据真值表,用与门将各个电路和LED 灯连接起来。
3、真值表由23进制计数器输入一个五位二进制数(00000-10110),输出彩灯所对应的状态(1表示灯亮,0表示灯灭),对应的真值表如下:10个LED灯各个门电路的连接5-32译码器函数信号发生器23进制计数器L0L1L2L3L4L5L6L7L8L90 1 1 1 1 1 1 1 1 1 11 1 0 1 1 1 1 1 1 1 12 1 0 1 0 1 1 1 1 1 13 1 0 1 0 1 1 1 1 1 14 1 0 1 0 1 0 1 1 1 15 1 0 1 0 1 0 1 0 1 16 1 0 1 0 1 0 1 0 1 07 1 1 1 1 1 1 1 1 1 18 0 1 1 1 1 1 1 1 1 19 0 1 0 1 1 1 1 1 1 110 0 1 0 1 0 1 1 1 1 111 0 1 0 1 0 1 0 1 1 112 0 1 0 1 0 1 0 1 0 113 1 1 1 1 1 1 1 1 1 114 0 1 1 1 1 1 1 1 1 115 0 0 1 1 1 1 1 1 1 116 0 0 0 1 1 1 1 1 1 117 0 0 0 0 1 1 1 1 1 118 0 0 0 0 0 1 1 1 1 119 0 0 0 0 0 0 1 1 1 120 0 0 0 0 0 0 0 1 1 121 0 0 0 0 0 0 0 0 0 122 0 0 0 0 0 0 0 0 0 0四、各个模块的制作1、23进制计数器23进制计数器是由两个16进制计数器构成,并且将23进制计数器的每个状态输出,令其对应LED的每一种状态,计数器实现如下图:图1 23进制计数器注:上图中左侧的5个输出从左到右电位依次升降低。
彩灯控制程序设计实验
彩灯控制程序设计实验本实验主要是为了让学生掌握单片机的GPIO控制输入输出嵌入式系统的基本原理,了解彩灯的控制原理,掌握运用彩灯控制的相关知识和技能。
在本实验的过程中,学生需要运用STM32F103单片机进行彩灯控制程序设计,通过模拟输入控制、中断服务控制、定时器控制、串口控制和PWM控制等方式,控制LED及RGB彩灯等外设,实现各种彩灯效果。
实验器材:1. STM32F103单片机开发板2. USB转串口线3. 彩灯开发板4. 杜邦线若干根实验环境:MCU开发环境:Keil5串口调试工具:SecureCRT实验步骤:1、搭建硬件环境将STM32F103单片机开发板和彩灯开发板连接起来。
连接方式如下:将单片机的PB9引脚连接在彩灯的DOUT引脚上;2、编写程序程序设计的主要思路在于,利用单片机的GPIO控制输入输出,通过串口调试工具与STM32F103单片机进行通信,实现彩灯的各种控制效果。
2.1控制LED输出例如,为了控制LED闪烁,可以将GPIOB的输出模式设置为高速推挽输出模式,可以利用HAL库中的GPIO_Init()函数进行配置,代码如下:void LED_GPIO_Config(void){GPIO_InitTypeDef GPIO_InitStruct = {0};//使能GPIO口的时钟__HAL_RCC_GPIOB_CLK_ENABLE();//GPIO配置GPIO_InitStruct.Pin = GPIO_PIN_12;GPIO_InitStruct.Mode = GPIO_MODE_OUTPUT_PP;GPIO_InitStruct.Pull = GPIO_NOPULL;GPIO_InitStruct.Speed = GPIO_SPEED_FREQ_HIGH;HAL_GPIO_Init(GPIOB, &GPIO_InitStruct);}在主函数中调用该函数后,即可控制LED的灯光频闪,代码如下:2.2通过按钮控制彩灯开启/关闭在彩灯板上有两个按钮,分别是PLAY和MODE。
彩灯的控制实训报告
实训题目:彩灯的控制1.整机设计1.1 设计要求1.1.1 设计任务设计由几种常用集成数字芯片组成的彩灯控制电路,彩灯用8个发光二极管代替,设置外部操作开关,它具有控制彩灯亮点的右移、左移、全亮及全灭等功能。
1.1.2 性能指标要求一、设置外部操作开关,它具有控制彩灯亮点的右移、左移、全亮及全灭等功能二、彩灯亮点移动时间间隔取1秒三、占空比约等于50%四、彩灯的布图形状随意1.2 整机实现的基本原理及框图1.2.1 基本原理通过两片集成双向移位寄存器74LS194和拨码开关控制右移﹑左移和一个拨码开关进行预置端让其全亮﹑全灭和一个由555芯片构成的CP产生电路。
移位寄存器74LS194是一个具有移位功能的寄存器,寄存器中所存的代码能够在一位脉冲的作用下依次左移或右移,555芯片是一种产生时间延迟和多种脉冲信号的电路,并且集成芯片74LS04是非门,能进行循环。
所以此电路的基本原理是由555芯片产生脉冲,传给移位寄存器74LS194,再经过非门与拨码开关实现循环、左移、右移、全亮、全灭的功能。
1.2.2 总体框图总体框图2.各功能电路实现原理及电路设计 整个电路的设计电路图:(1) 电源输入接口由一个接口和一个发光二极管(如果接入正确则灯亮)和一个300欧的电阻保护。
其电路图如下:电 源 输 入 接 口彩 灯 控 制 电 路555 芯 片 CP 产 生 电 路彩 灯 演 示 电 路电源输入接口(2)555芯片CP产生电路方案一:由555定时器接成多谐振动器。
其图如下:555定时器接成多谐振动器图振动周期: T=0.7(R1+2R2)C输出脉冲占空比: q=(R1+R2)/(R1+2R2)方案二:由555定时器接成多谐振动器但其占空比可调。
其图如下555定时器接成多谐振动器占空比可调图在这次电路设计中我选择的CP产生电路是第2中方案,是为了便于调占空比。
电容取:4.7μf 0.01μf电阻取:两个47kΩ 50kΩ精密电位器:50kΩ精密电位器其原理图如下:555芯片CP产生电路(2)彩灯控制电路2片移位寄存器74LS194级联实现。
实验三彩灯控制器设计实验
实验三彩灯控制器设计实验一、实验目的1、通过实验初步了解实验箱上的频率源的基本使用方法。
2、通过阅读彩灯实验程序,了解编程并行处理的思维方式。
3、初步掌握EDA开发软件的调试方法。
二、实验要求1、阅读和了解彩灯的编程思路。
2、验证彩灯实验。
三、实验设备1、计算机一台2、EDA——Ⅳ实验箱一台四、实验原理在本实验中(如附录1-NO.4所示),8个彩灯共阴接地,阳极分别为10k10的8个I/O相连,I/O输出变化的电平,来控制彩灯的点亮。
五、实验连线1 将实验板正中上方,JPLED1(数码管右下方)的短路帽右插;JPLED的七个短路帽全部上插;2 实验板右下方频率选择区中CLK5(即JP153)的短路帽接1Hz或23Hz;五、实验内容与步骤1、打开MAX+plusⅡ软件,编辑项目文件“File →Project →Name →light,”。
2、打开文本文件,light.vhd。
3、阅读原文件:--彩灯显示实验library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity light isport(clk1: in std_logic; ---时钟信号light: buffer std_logic_vector(7 downto 0)); --输出end light;architecture behv of light isconstant len: integer:=7;signal banner: std_logic:='0'; ----定义信号banner为两种节拍转换信号;signal clk,clk2: std_logic; ----信号CLK1,CLK2作为辅助时钟beginclk<=(clk1 and banner) or (clk2 and not banner);process(clk1)beginif clk1'event and clk1='1' then ---CLK1二分频得CLK2 clk2<=not clk2;end if;end process;process(clk) ----variable flag: bit_vector(2 downto 0):="000";beginif clk'event and clk='1' thenif flag="000" thenlight<='1' & light(len downto 1); ----顺序循环移位if light(1)='1' then ----依次点亮flag:="001";end if;elsif flag="001" then ———依次熄灭light<=light(len-1 downto 0) & '0';if light(6)='0' thenflag:="010";end if;elsif flag="010" thenlight(len downto 4)<=light(len-1 downto 4)&'1'; ---从中间向两边点light(len-4 downto 0)<='1'&light(len-4 downto 1);if light(1)='1' thenflag:="011";end if;elsif flag="011" thenlight(len downto 4)<='0'&light(len downto 5); ----从两边向中间熄light(len-4 downto 0)<=light(len-5 downto 0)&'0';if light(2)='0' thenflag:="100"; end if;elsif flag="100" thenlight(len downto 4)<='1'&light(len downto 5); ----奇偶位循环点亮light(len-4 downto 0)<='1'&light(len-4 downto 1);if light(1)='1' thenflag:="101";end if;elsif flag="101" thenlight<="00000000";flag:="110";elsif flag="110" then ----从新开始banner<=not banner; ---banner信号转换,实现第二种节拍flag:="000";end if;end if;end process;end behv;4、选择器件:点击”Assign→Device”然后选择EPF10K10LC84-4。
彩灯控制器的设计报告(标准完整版)含程序电路图.
目录1 设计任务与方案选择 (2)1.1 设计任务与指标 (2)1.1.1 设计任务 (2)1.1.2 设计指标 (2)1.2 系统方案选择 (2)2 系统设计 (2)2.1 系统硬件设计 (2)2.1.1 控制器模块 (3)2.1.2 按键模块 (4)2.1.3 LED显示模块 (4)2.1.4 硬件元件清单 (4)2.2 软件设计与仿真 (5)2.2.1 LED主程序设计 (5)2.2.2 仿真软件简介 (7)2.2.3 仿真结果 (7)3 系统调试 (10)3.1 程序问题 (10)3.2 误差分析 (10)4 总结 (11)参考文献: (11)附录A:程序清单 (11)附录B:仿真电路 (14)附录C:实物图 (14)彩灯控制器的设计通信工程专业学生xxx、xxx、xxx指导教师xxx摘要:随着人们生活环境的不断改善和美化,在许多场合可以看到彩色霓虹灯。
LED 彩灯由于其丰富的灯光色彩,低廉的造价以及控制简单等特点而得到了广泛的应用,用彩灯来装饰街道和城市建筑物已经成为一种时尚。
特别是每当节日的到来,节日彩灯更是到处都看的到。
节日彩灯已成为生活中不可缺少的装饰物。
它集中地运用了单片机、LED、,自动控制等技术,是典型的基于单片机的电子产品。
本文以AT89C51单片机为控制核心,采用模块化的设计方案,运用LED彩灯、按键等组成电路,实现彩灯在开启时满足不一样的闪亮方法。
关键词:LED 单片机AT89C51按键控制Lantern Controller DesignStudent majoring in Communication Engineering xxx、xxx、xxxTutor xxxAbstract:As people's living environment of continuous improvement and landscaping, on many occasions can see color neon lamp. LED lantern due to its rich color of light, low cost and easy control and widely used, decorated with lanterns to streets and buildings of the city has become a kind of fashion. Especially when the arrival of the festival, Lantern Festival is everywhere to see. Lantern Festival has become indispensable in the life of the decoration. It focuses on use of SCM, LED,, the automatic control technology, is a typical electronic products based on single chip microcomputer. This paper takes AT89C51 MCU as the control core, modular design, use LED lights, keyboard circuit, realizes illumination when opened to meet different flashing method.Key words:Landscaping;SCM;A T89C51;Keyboard引言随着人们生活环境的不断改善和美化,在许多场合可以看到彩色霓虹灯。
各类灯控制器设计报告三篇
各类灯控制器设计报告三篇篇一:多路彩灯控制器设计报告一、课程设计题目课程设计题目:多路彩灯控制器二、任务和要求彩灯控制器可以自动控制多路彩灯按不同的节拍循环显示各种灯光变换花型。
彩灯控制器是以高低电平来控制彩灯的亮灭。
实现彩灯控制可以采用EPROM编程、RAM编程、可编程逻辑器件、单片机等实现。
在彩灯路数较少,花型变换比较简时,也可用移位寄存器实现。
在实际应用场合彩灯可能是功率较大的发光器件,需要加以一定的驱动电路。
本课题用发光二极管LED模拟彩灯,可以不用驱动。
现要求设计一个8路移存型彩灯控制器,彩灯用发光二极管LED模拟,具体要求如下:1.能演示三种花型,花型自拟。
2.选做:彩灯明暗变换节拍为1.0s和0.5s,两种节拍交替运行。
三总体方案的选择根据题目的任务、要求和性能指标,经过分析与思考,得出以下方案:整体电路分为四个模块:第一个模块实现节拍的发生;第二个模块实现快慢两种节拍的控制;第三个模块实现花型的控制;第四个模块实现花型的显示。
主体框图如下:在本方案中,各单元电路只实现一种功能。
其优点在于:电路设计模块化且各模块功能明确,易于检查电路,对后面的电路组装及电路调试带来方便。
缺点是:由于设计思想比较简单,元件种类使用少,花型复杂一些就会导致中间单元电路连线过多而易出错。
四单元电路的设计1.设计所使用的元件及工具:2.各个单元电路的具体实现 1)节拍部分 (1)节拍发生电路考虑到节拍是整个电路功能实现的基础及其他模块进行调试的必需条件,故首先实现节拍发生模块。
0.5s 节拍选用由555及相关器件构成的多谐振荡器电路实现。
由于输出波形中低电平的持续时间,即电容放电时间为C R t w 227.0=低电平的持续时间,即电容放电时间为C R R t w )(7.0211+=因此电路输出矩形脉冲的周期为C R R t t T w w )2(7.02121+=+=输出矩形脉冲的占空比为212112R R R R T t q w ++==当12R R >>时,占空比近似为50%。
电子声控彩灯实训报告---彩灯控制器[11页].doc
电⼦声控彩灯实训报告---彩灯控制器[11页].doc
⽪匠⽹—开放、共享、免费的咨询⽅案报告⽂库
咨询⼈⼠学习成长与交流平台
彩灯控制器
摘要:
彩灯控制器受环境声场控制,当环境⾳乐声响起或有⼈⾼声歌唱时,彩灯串能随演唱者歌声的强弱起伏⽽闪烁,⾮常有趣,好玩。
还此电路可⽤于家庭卡拉ok演唱会环境灯光的⽓氛渲染。
关键词:整流,滤波,稳压,放⼤
1、电路设计与分析
1.1电源
经整流桥,再通过电容滤波最后⽤7812稳压
1.2 放⼤器
采⽤9041进⾏放⼤使,得Vce=Vcc/2=6V
⽪匠⽹—开放、共享、免费的咨询⽅案报告⽂库
咨询⼈⼠学习成长与交流平台
1.3 滤波器设计
采⽤低通滤波设计
1.4单电源运放放⼤器设计
1.5整流单元
1.6⽐较放⼤触发单元
输⼊电压与基准电压进⾏⽐较,输⼊电压⼤于基准电压,使输出⾼电平,故LED灯亮,即完成声控。
1.7输出接⼝单元
1.8流⽔灯控制单元
⼆、调试与测试
1、调试:
通15v稳压,不会流⽔,不会声控,只显⽰指⽰灯亮。
经检测发现555芯⽚的4脚飞。
彩灯控制器设计及实验报告三篇
彩灯控制器设计及实验报告三篇篇一:多路彩灯控制器的设计一课程设计题目(与实习目的)(1)题目:多路彩灯控制器(2)实习目的:1.进一步掌握数字电路课程所学的理论知识。
2.熟悉几种常用集成数字芯片,并掌握其工作原理,进一步学会使用其进行电路设计。
3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。
4.培养认真严谨的工作作风和实事求是的工作态度。
5.作为课程实验与毕业设计的过度,课程设计为两者提供了一个桥梁。
二任务和要求实现彩灯控制的方法很多,如EPROM编程、RAM编程、单板机、单片机等,都可以组成大型彩灯控制系统。
因为本次实习要求设计的彩灯路数较少,且花型变换较为简单,故采用移位寄存器型彩灯控制电路。
(1)彩灯控制器设计要求设计一个8路移存型彩灯控制器,要求:1.彩灯实现快慢两种节拍的变换;2.8路彩灯能演示三种花型(花型自拟);3.彩灯用发光二极管LED模拟;4.选做:用EPROM实现8路彩灯控制器,要求同上面的三点。
(2)课程设计的总体要求1.设计电路实现题目要求;2.电路在功能相当的情况下设计越简单越好;3.注意布线,要直角连接,选最短路径,不要相互交叉;4.注意用电安全,所加电压不能太高,以免烧坏芯片和面包板。
三总体方案的选择(1)总体方案的设计针对题目设计要求,经过分析与思考,拟定以下二种方案:方案一:总体电路共分三大块。
第一块实现花型的演示;第二块实现花型的控制及节拍控制;第三块实现时钟信号的产生。
主体框图如下:方案二:在方案一的基础上将整体电路分为四块。
第一块实现花型的演示;第二块实现花型的控制;第三块实现节拍控制;第四块实现时钟信号的产生。
并在部分电路的设计上与方案一采用了完全不同的方法,如花型的控制。
主体框图如下:(2)总体方案的选择方案一与方案二最大的不同就在,前者将花型控制与节拍控制两种功能融合在一起,是考虑到只要计数器就可以实现其全部功能的原因,且原理相对简单。
彩灯控制器制作报告
彩灯控制器制作报告一、实验目的学会用软件进行简单的电路设计, 简单掌握电子器件的制作。
增强动手能力, 扩展自己的知识, 学会科学分析和解决问题。
培养认真工作和实事求是的工作态度。
二、设计思路三、设计要求是使众多彩灯(LED管)能连续发出四种以上不同的显示形式, 并且随着彩灯显示图案的变化, 发出不同的音响声。
因此单片机的编程就需要实现上述功能, 根据输出的高低电平来控制彩灯的交替亮灭。
为了使过程不那么单调, 所以用复位按键电路对整个电路进行复位, 用功能按键电路实现对彩灯闪烁方式的转换控制从而也改变蜂鸣器的发声控制。
若让电路自行播放, 则彩灯和蜂鸣器将会循环播放。
四、元器件清单四、总体框图复位按键电路实现对电路复位, 按键电路实现音乐和LED灯的闪烁方式, AT89S52单片机实现对整个电路的直接控制, 包括蜂鸣器的声音相应。
该设计由电源电路、晶振电路、复位电路、控制电路、蜂鸣电路、排阻电路、彩灯电路、AT89s52单片机功能电路组成。
五、设计分析图1 电源电路电源电路由六个排针组成, 如图1所示图2 晶振电路晶振电路由两个30pF的电容和一个12M的晶振组成, 如图2所示图3 复位电路复位电路如图3所示由一个按键, 一个电阻和一个电容组成, 这个电路的功能是把电路复位图4 控制电路控制电路由四个按键组成, 如图4所示, 只要功能是控制音乐和LED灯的闪烁图5 封鸣电路封鸣电路由一个电阻和一个三极管、封鸣器组成, 如图5, 主要是输出声音图6 排阻电路排阻电路由两个10K排阻组成, 如图6所示图7 彩灯电路彩灯电路由16个LED灯组成, 显示多种花样, 如图7所示图8 AT89s52单片机功能电路AT89S52是一种低功耗、高性能CMOS8位微控制器, 具有8K在系统可编程Flash存储器, 256字节RAM, 32位I/O口线, 看门狗定时器, 2个数据指针, 三个16位定时器/计数器, 一个6向量2级中断结构, 全双工串行口, 片内晶振及时钟电路。
彩灯控制器实验报告
彩灯控制器实验报告彩灯控制器实验报告一、引言彩灯作为一种常见的装饰灯具,广泛应用于各种场合,如节日庆典、婚礼晚会等。
为了实现彩灯的多样化控制效果,我们进行了一系列的实验,研究了彩灯控制器的原理和应用。
本报告将详细介绍实验的目的、方法、结果和讨论。
二、实验目的本实验的目的是设计并实现一种彩灯控制器,能够控制彩灯的亮度、颜色和闪烁频率。
通过实验,我们希望了解彩灯控制器的工作原理,并掌握其设计和调试方法。
三、实验方法1. 实验器材准备:彩灯、控制器、电源、电线等。
2. 搭建实验电路:将控制器与彩灯连接,接通电源。
3. 设置控制参数:根据实验要求,设置彩灯的亮度、颜色和闪烁频率。
4. 进行实验观察:观察彩灯的亮度、颜色和闪烁频率的变化,并记录实验数据。
5. 实验分析:根据实验数据,分析控制器的工作原理和性能。
四、实验结果经过实验观察和数据记录,我们得到了以下实验结果:1. 彩灯亮度控制:通过调节控制器的电压输出,可以实现彩灯的亮度控制。
当电压升高时,彩灯亮度增加;当电压降低时,彩灯亮度减小。
2. 彩灯颜色控制:通过控制器的颜色选择开关,可以实现彩灯的颜色切换。
不同的颜色对应不同的电路连接方式,通过切换开关,可以改变彩灯的颜色。
3. 彩灯闪烁控制:通过调节控制器的闪烁频率,可以实现彩灯的闪烁效果。
当闪烁频率较低时,彩灯呈现持续亮灭的效果;当闪烁频率较高时,彩灯呈现快速闪烁的效果。
五、实验讨论通过实验结果的观察和分析,我们可以得出以下结论:1. 彩灯控制器的亮度控制原理是通过调节电压输出来改变彩灯的亮度。
这是因为彩灯的亮度与电流大小有关,而电流大小又与电压成正比。
2. 彩灯控制器的颜色控制原理是通过改变电路连接方式来改变彩灯的颜色。
不同的颜色对应不同的电路连接方式,通过切换开关,可以改变电路连接方式,从而改变彩灯的颜色。
3. 彩灯控制器的闪烁控制原理是通过调节闪烁频率来改变彩灯的闪烁效果。
闪烁频率较低时,彩灯呈现持续亮灭的效果;闪烁频率较高时,彩灯呈现快速闪烁的效果。
八路彩灯控制器实习报告
实习报告:八路彩灯控制器设计与实现一、实习背景及目的随着科技的不断发展,电子产品在日常生活中扮演着越来越重要的角色。
本次实习旨在让同学们更好地掌握数字电子技术知识,提高动手实践能力。
本次实习任务为设计并实现一个八路彩灯控制器,通过控制发光二极管的亮灭,实现多种流动变化的灯光效果。
二、实习内容与过程1. 了解彩灯控制器的工作原理彩灯控制器主要由555定时器、计数器、双向移位寄存器、显示译码管、数码显示管、发光二极管及一些门电路等组成。
通过555定时器产生多谐振荡信号,计数器累计输入脉冲个数,双向移位寄存器存储计数器输出的十进制数,显示译码管将十进制数转换为对应的灯光显示。
2. 设计电路图根据彩灯控制器的工作原理,设计电路图包括以下部分:(1)振荡电路:采用555定时器、电容、电阻等组成多谐振荡电路。
(2)计数器电路:采用十进制计数分频器CT74LS194,用于累计和寄存输入脉冲个数。
(3)显示电路:由发光二极管组成,当CT74LS194的输出端依次输出高电平时,驱动发光二极管依次点亮。
(4)控制电路:采用门电路控制计数器和双向移位寄存器的输入端,实现不同灯光效果的控制。
3. 制作PCB板根据电路图,利用Protel软件设计PCB板,并进行印刷电路板制作。
在制作过程中,注意走线合理,避免信号干扰。
4. 电路调试与验证将制作好的PCB板焊接完毕后,进行电路调试。
通过改变控制电路的输入信号,观察发光二极管的亮灭情况,验证电路是否满足设计要求。
三、实习心得与收获通过本次实习,我对数字电子技术有了更深入的了解,掌握了八路彩灯控制器的设计与实现方法。
在实习过程中,我学会了如何阅读电路图,分析电路原理,设计电路图,制作PCB板,并进行电路调试。
同时,本次实习也培养了我的团队协作能力和动手实践能力。
总之,本次实习使我受益匪浅,对我今后的学习和职业发展具有重要意义。
在今后的学习和工作中,我将继续努力,不断提高自己的数字电子技术水平,为我国的电子科技事业做出贡献。
多路彩灯控制器课程设计报告
XXXX大學数字电路设计报告书题目: 多路彩灯控制器系部名称:计算机系学生姓名:一帆专业名称:计算机科学与技术班级:计科xxxx班(xx)实习时间:05年5月30至05年6月10日多路彩灯控制器的设计一实验目的1.进一步掌握数字电路课程所学的理论知识。
2.熟悉几种常用集成数字芯片,并掌握其工作原理,进一步学会使用其进行电路设计。
3.了解数字系统设计的基本思想和方法,学会科学分析和解决问题。
4. 培养认真严谨的工作作风和实事求是的工作态度。
二设计题目与思路本次数字电路课程设计我选择的题目是“多路彩灯控制器”。
这个系统的主要功能是:1.自动控制多路彩灯按预设的花型进行变换;2.花型种类不少于三种,花型自拟;3.分别用快慢两种节拍实现花型变换。
4.选作:用单片机实现,要求同上;用可编辑逻辑器件实现,要求同上。
三使用元件1.设计所需的元件:74LS161(四位二进制同步计数器)---------------------- 2个;74LS194(移位寄存器)------------------------------ 3个;74LS139 (双二线——四线译码器)---------------------- 1个;74LS74(双D 触发器)------------------------------- 1个;74LS20(双四输入与非门)---------------------------- 1个;74LS04(六非门)------------------------------------ 1个;发光二极管--------------------------------------------- 8个;555 --------------------------------------------- 1个;电容:4.7μf ---------------------------------------------- 1个;0.01μf ---------------------------------------------- 1个;电阻:150kΩ------------------------------------------------------------ 1个;4.7kΩ--------------------------------------------- 1个;270Ω------------------------------------------------1个;实验板一个;万用表一个;导线若干;2.工具:镊子,钳子各一个。
简易彩灯控制器报告
目录第一章系统组成及工作原理 (1)第一节系统组成框图 (1)第二节工作原理分析 (2)第二章电路方案设计 (3)第一节电路图设计 (3)第三章单元电路设计 (4)第一节时钟脉冲产生电路 (4)第二节四种码产生电路 (4)第三节彩灯开关电路 (4)第四节花样输出电路 (5)第五节各芯片功能介绍 (5)第四章总结 (9)参考文献 (10)附录一元器件清单 (11)附录二系统电路总图 (12)摘要彩灯控制器是使彩灯按照一定的规律闪亮,起到烘托节日氛围、吸引公众注意力的作用,应用前景较为广泛。
彩灯控制器实现方法多种多样,本次设计的这台彩灯控制器主要功能有:(1)可以控制8路彩灯;(2)可以实现四种花型循环,并且每种花型连续循环两次;(4)移动速度可调节。
该设计简单、结构清晰,可分为四种码产生电路、开关电路、输出电路和时钟电路这四个模块。
四种码产生电路由模十六计数器构成,开关电路由双D 触发器和数据选择器构成,输出电路由移位寄存器和彩灯构成,时钟电路由两个555构成。
通过改变多谐振荡器的电阻可改变彩灯流动的速度和各花样持续的时间,实现了彩灯花样的动态变化,增强了控制器的灵活性。
关键词:移位寄存器;集成电路;脉冲计数器;触发器。
第一章 系统组成及工作原理第一节.系统组成框图系统可由四个模块组成,它们分别是:四种码产生电路、开关电路、数据输出、时钟电路。
设计框图如图2.1所示:由两个555构成两个时钟电路,由模十六分频计数器构成四种码分频计数数据选择移位寄存多谐振荡多谐振荡多谐振荡开关电路输 出图2.1 系统组成框图产生电路,由双D触发器、延时器和数据选择器构成开关电路,由移位寄存器和八个彩灯构成输出电路,一个时钟控制模十六分频计数器和移位寄存器,另一个时钟控制双D触发器。
第二节.工作原理分析多谐振荡器、双D触发器、数据选择器和延时器共同组成一个电子开关。
多谐振荡器输出的计数脉冲一路直接经双D触发器两位二进制计数器,在它的两个输出端得到00、01、10、11四种逻辑状态,另一路经过延时器,再输入到双D触发器两位二进制计数器,同样在它的两个输出端得到00、01、10、11四种逻辑状态。
课程设计报告:彩灯控制器
《彩灯控制器》课程设计报告题目:彩灯控制器专业:年级:学号:学生姓名:联系电话:指导老师:完成日期:彩灯控制器摘要利用十进制同步计数器74LS160,十进制译码器74LS42,NE555定时器,三输入或门CD4075,四2输入端与非门74LS00,二四译码74HC139,双下降沿JK 触发器74LS112,LED发光二极管,电阻和电容等元件,制作彩灯控制器,能自动依次地实现10个彩灯先奇数灯灭,再偶数灯灭,最后1至9依次灭的循环顺序。
经测试,系统达到设计要求,并且十分美观。
关键词:74LS160;74LS42,NE555,CD4075,74LS00,74LS112,74HC139,电阻,电容,LED发光二极管ABSTRACTUse the decimal synchronous counter 74 LS160, decimal decoder 74 LS42, NE555 timer, three input or door CD4075, four 2 input and 74 LS00 sr, 24 decode 74 HC139, double down along the JK flip-flop is 74 LS112, LED light emitting diode, resistance and capacitance components, and making the lights controller, can realize the automatic in turn 10 odd lamp lights first, then even the lamp, the last 1 to 9 out of circulation in order. The testing, the system to meet the design requirements, and very beautiful.Key Words:74 LS160; 74 LS42, NE555, CD4075,74 LS112,74LS00,Resistance,capacitance,LED light emitting diode摘要 (Ⅱ)ABSTRACT (Ⅱ)1.设计要求及方案选择 (1)1.1 设计要求 (1)1.2 方案选择 (1)方案一 (1)方案二 (2)2.理论分析与设计 (3)2.1 设计思路 (3)2.1.1 理论设计 (3)2.1.2 设计框图 (3)2.2控制电路的分析与设计 (4)2.3 彩灯电路的分析与控制 (5)3.电路设计 (6)3.1整体电路的设计 (6)3.2 部分电路的设计 (7)3.2.1 NE555构成的脉冲电路 (7)3.2.2 上电清零电路 (7)3.2.3 JK构成的二分频电路 (8)3.2.4 电源电路的设计和要求 (9)3.3芯片资料 (10)3.3.1 十进制同步计数器74LS160 简要说明: (10)3.3.2 双下降沿J-K触发器74LS112 简要说明: (11)3.3.3 四线-十线译码器74LS42 简要说明: (11)3.3.4 四2输入与非门74LS00 简要说明: (12)3.3.5 NE555定时器简要说明: (13)3.4 各部分的PCB图 (14)3.4.1 控制部分的PCB图 (14)3.4.2 信号发生电路 (14)3.4.3 彩灯演示电路 (15)3.4.4 5V电源电路 (15)3.5实物图展示 (16)4.系统测试 (17)4.1调试所用的基本仪器清单 (17)4.2调试结果 (17)4.3测试结果分析 (17)4.4 元件清单 (17)5.总结 (18)参考文献 (18)1.设计要求及方案选择1.1 设计要求1.有十只LED,L0……L92.显示方式①先奇数灯依次灭②再偶数灯依次灭③再由L0到L9依次灭3.显示间隔0.5s,1s可调1.2 方案选择方案一:要想得到四进制的数列可以用74HC390构成,74HC390是一个双二-五-十进制加法计数器,若计数器的输出QA端接计数器的时钟脉冲的输入B,则构成8421BCD码十进制的计数器,再将QC端接清零端,就可以构成四进制计数器。
EDA技术实验10-彩灯控制器设计与实现
ENTITY counter_4 IS
PORT
(
clk,rst
: IN
std_logic;
count_out
: OUT integer range 0 to 3 );
std_logic
END xzq4_1; ARCHITECTURE a OF xzq4_1 IS
BEGIN PROCESS (rst,inp) BEGIN
if(rst='1') then output<='0';
else
case inp is
when 0=>output<=in1;
when 1=>output<=in2;
count_out<=temp;
END PROCESS;
17
END a;
-------------------------------------------4进制计数器模块----------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.all;
if clk'event and clk='1'then
if b>=5 then
b:=0;
clk_6<='1';
else
b:=b+1;
clk_6<='0';
end if;
end if;
end if;
end process p2;
p3:process(clk,rst)
variable c:integer range 0 to 20;
彩灯控制器课程实训报告
一、实训背景随着科技的不断进步,电子技术在生活中的应用越来越广泛。
彩灯作为节日装饰的重要元素,其控制系统的设计与实现对于丰富节日气氛、美化环境具有重要意义。
本实训旨在通过学习彩灯控制器的原理与设计方法,提高学生对数字电路的理解和应用能力。
二、实训目的1. 掌握彩灯控制器的原理和设计方法。
2. 熟悉数字电路中的触发器、计数器、译码器等基本单元电路。
3. 培养学生动手实践能力和创新意识。
三、实训内容1. 彩灯控制器原理学习(1)了解彩灯控制器的组成,包括输入部分、控制部分、执行部分等。
(2)掌握彩灯控制器的控制原理,包括定时、计数、译码等。
(3)学习常用数字集成电路的应用,如触发器、计数器、译码器等。
2. 彩灯控制器设计(1)根据设计要求,确定彩灯控制器的功能模块和结构。
(2)设计彩灯控制器的电路图,包括各个模块的连接关系和参数设置。
(3)利用数字电路仿真软件对电路进行仿真,验证设计的正确性。
3. 彩灯控制器制作与调试(1)根据电路图,制作彩灯控制器的实体电路。
(2)调试电路,确保各个模块正常工作。
(3)进行彩灯控制器的功能测试,验证其是否符合设计要求。
四、实训过程1. 理论学习(1)查阅相关资料,了解彩灯控制器的原理和设计方法。
(2)学习数字电路中的基本单元电路,如触发器、计数器、译码器等。
(3)了解数字电路仿真软件的使用方法。
2. 电路设计(1)根据设计要求,确定彩灯控制器的功能模块和结构。
(2)设计电路图,包括各个模块的连接关系和参数设置。
(3)利用数字电路仿真软件对电路进行仿真,验证设计的正确性。
3. 电路制作(1)根据电路图,制作彩灯控制器的实体电路。
(2)检查电路连接,确保无误。
4. 调试与测试(1)调试电路,确保各个模块正常工作。
(2)进行彩灯控制器的功能测试,验证其是否符合设计要求。
五、实训结果与分析1. 实训成果(1)成功设计并制作了一个彩灯控制器。
(2)彩灯控制器可以按照预定程序控制彩灯的亮灭,实现各种动态效果。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
专业班级
院系物理与电子信息学院
姓名学号同组人
实验室组号日期
成绩
课程单片机原理与应用指导老师肖鹏程
试验项目编号
试验项目名称多功能彩灯控制器的设计一、实验目的
1.熟悉Keil uVision2软件的安装和应用;
2.熟悉Proteus7.8软件的安装和应用;
3.掌握《单片机多功能彩灯控制器的设计》的编程、仿真和调试方法。
二、实验环境
1.微机一台;
2.Proteus7.8电路设计和仿真软件;
3.Keil uVision2编译和调试软件;
三、实验原理
图1是单片机多功能彩灯控制器的电路原理图,P2接8只LED,限流电阻为220Ω,时钟电路接在单片机的DIP18、DIP19,复位电路接在单片机的DIP9, DIP31接Vcc。
要求用C语言编写程序,使该电路的功能为,上电后8只LED的显示状态为:【○○○○○○○●】→【○○○○○○●○】→【○○○○○●○○】→【○○○○●○○○】→【○○○●○○○○】→【○○●○○○○○】→【○●○○○○○○】→【●○○○○○○○】→【○○○○○○○○】,
时间间隔为300mS,循环往复。
图1单片机输出控制电路原理图
多功能彩灯控制器的参考程序如下:
#include <AT89X51.H>
unsigned char code table001[]={128,64,32,16,8,4,2,1,0};
void delay300ms();
main()
{
unsigned char m;
while(1)
{
for(m=0;m<=8;m++)
{
P2=~table001[m];
delay300ms();
}
}
}
void delay300ms()
{
unsigned char i,j,k;
for(i=3;i>0;i--)
for(j=200;j>0;j--)
for(k=248;k>0;k--);
}
四、实验步骤
1.安装Keil uVision2;
2.安装Proteus 7.8;
3.在Proteus ISIS环境下设计一个用单片机的I/O口(P2)作为Output控制8只LED的电路;
4.在Keil uVision2环境下,用C语言编写多功能彩灯控制器的程序,编译生成hex文件。
当出现错误时,使用Debug调试和修改程序,直到生成hex文件。
5.将生成的hex文件加载到单片机,运行仿真,观察现象,记录结果;
五、实验记录与处理
1. 多功能彩灯控制器的实验结果(仿真)如下:
时间LED工作状态时间LED工作状态
0 0。