2输入数据选择器(mux2)集成电路课设报告

合集下载

2输入数据选择器(mux2)集成电路课设报告

2输入数据选择器(mux2)集成电路课设报告

课程设计任务书

学生姓名:助人为乐专业班级:不计得失

指导教师:一定过工作单位:信息工程学院

题目: 二输入数据选择器版图设计

初始条件:

计算机、ORCAD软件、L-EDIT软件

要求完成的主要任务:

1、课程设计工作量:2周

2、技术要求:

(1)学习ORCAD软件、L-EDIT软件软件。

(2)设计一个二输入数据选择器电路。

(3)利用ORCAD软件、L-EDIT软件对该电路进行系统设计、电路设计和版图设计,并进行相应的设计、模拟和仿真工作。

3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。

时间安排:

2013.11.22布置课程设计任务、选题;讲解课程设计具体实施计划与课程设计报告格式的要求;课程设计答疑事项。

2013.11.25-11.27学习ORCAD软件、L-EDIT软件,查阅相关资料,复习所设计内容的基本理论知识。

2013.11.28-12.5对二输入数据选择器电路进行设计仿真工作,完成课设报告的撰写。

2013.12.6 提交课程设计报告,进行答辩。

指导教师签名:年月日

系主任(或责任教师)签名:年月日

目录

1.绪论 (2)

2.软件简介 (3)

2.1Cadence简介 (3)

2.2L-edit简介 (3)

3.二输入多路选择器电路设计及仿真 (4)

3.1数据选择器原理 (4)

3.2电路原理图的绘制 (5)

3.3电路图仿真 (6)

4.集成电路版图设计 (7)

4.1CMOS数字电路基本单元版图设计 (7)

4.1.1反相器版图设计 (7)

电子电路课程设计实验报告

电子电路课程设计实验报告

目录

第1章技术指标 2

1.1系统功能要求 2

1.2 系统结构要求2

1.3电气指标 2

1.4设计条件 2

1.5 元器件介绍 3

1.5.1 数码管 3

1.5.2 发光二极管 3

1.5.3 排阻 4

1.5.4 4511译码器 4

1.5.5 八位拨号开关 4

1.5.6 74174芯片 5

1.5.7 74283芯片 5 第2章整体方案设计 6

2.1 算法设计 6

2.2 整体方案7

2.2.1 预期效果7

2.2.2 设计内容7

2.2.3 整体布局9

2.3整体方案图及原理10 第3章单元电路设计11

3.1 十进制显示电路设计11

3.2 8421BCD码控制电路设计11

3.3 二进制显示电路设计12

3.4 整体电路图14

3.5 实验实物图14

3.6 整机元件清单15 第4章测试与调整16

4.1十进制显示电路调测16

4.2 8421BCD码控制电路调测16

4.3二进制显示电路调测17

4.4 整体指标测试17

4.5 测试数据18 第5章设计小结19

5.1 设计任务完成情况19

5.2 问题及解决19

5.3 心得体会20 附录1:参考文献22 附录2:预习报告

附录3:设计图

第1章 技术指标

1.1 系统功能要求

人们在向计算机输送数据时,首先把十进制数变成二—十进制码,即 BCD 码, 运算器将接收到的二一十进制码转换成二进制数后才能进行运算。这种把十进制数转换成二进制数的过程称为“十翻二”运算。

1.2 系统结构要求

系统结构方框图如下:

系统复位 十进制数输入(0-9共10个数)

1.3 电气指标

(1)具有十翻二功能。

2输入数据选择器mux2集成电路课设报告

2输入数据选择器mux2集成电路课设报告
其主要工作职责有:芯片物理结构分析、逻辑分析、建立后端设计流程、版图布局布线、版图编辑、版图物理验证、联络代工厂并提交生产数据。作为连接设计与制造的桥梁,合格的版图设计人员既要懂得IC设计、版图设计方面的专业知识,还要熟悉制程厂的工作流程、制程原理等相关知识。
2
1
2
2.1
Cadence DesignSystems是一个专门从事电子设计自动化(EDA)的软件公司,由SDASystems和ECAD两家公司于1988年兼并而成。是全球最大的电子设计技术(ElectronicDesignTechnologies)、程序方案服务和设计服务供应商。其产品涵盖了电子设计的整个流程,包括系统级设计,功能验证,IC综合及布局布线,模拟、混合信号及射频IC设计,全定制集成电路设计,IC物理验证,PCB设计和硬件仿真建模等。包括原理图输入、生成、模拟数字/混合电路仿真,fpga设计,pcb编辑和自动布局布线mcm电路设计、高速pcb版图的设计仿真。

1.ﻩ绪论ﻩ2
2.ﻩ软件简介ﻩ3
2.1ﻩCadence简介3
2.2ﻩL-edit简介3
3.ﻩ二输入多路选择器电路设计及仿真4
3.1数据选择器原理4
3.2ﻩ电路原理图的绘制ﻩ5
3.3ﻩ电路图仿真ﻩ6
4.集成电路版图设计ﻩ7
4.1CMOS数字电路基本单元版图设计7
4.1.1ﻩ反相器版图设计7

mux2

mux2

设置信号为周期性信号 Period(周期),Offset(初始位) Duty cycle(占空比) 此例设置a周期为50ns
同样的方法设置信号 b周期为20ns
选择信号s,利用 Waveform Editing Tool按钮设置波形
Waveform Editing Tool按钮可以设置 信号任意波形
选择Cyclone系列
设置过滤选项:Pin count 为240,Speed Βιβλιοθήκη Baidurade为8
选择器件EP1C12Q240C8
可以设置工程编译器、仿 真器、时域分析器;不做 修改,默认使用QuartusII 自带工具
显示总结性信息
显示了工程的基本信息,包 括器件和顶层实体名
1、QuartusII基本设计流程
High Impedance(Z) :设置激励(输入)信号为高阻状态。 Invert:信号取反。
保存仿真向量文件
设置仿真类型等相关 参数
选择Assignment/Setting…菜 单
选择Simulator Settings仿真器 设置项
仿真类型(Simulation mode)主 要有两种:时序仿真(Timing)/ 功能仿真(Funtional),功能仿真 不包含延时信息。Simulation input填写需要仿真的向量文件。 此例选择时序(Timing)仿真

数据选择器及其应用电子技术实验报告multism

数据选择器及其应用电子技术实验报告multism

学生实验报告

,通过选择控制信号A1、A0(地址码)从四路数据中选中一

组成16选1数据选择器

、数据选择器的应用

=+,自己写出设计过程,画出接线图,并验证其逻辑功能。

AB AB

数字集成电路-电路系统与设计第二版课程设计

数字集成电路-电路系统与设计第二版课程设计

数字集成电路-电路系统与设计第二版课程设计

一、课程设计介绍

数字集成电路是现代电路设计中的重要组成部分,也是计算机科学与工程的重要分支。本课程设计旨在通过对数字集成电路的系统与设计进行探究,并结合具体的案例来设计和实现数字集成电路,使学生能够熟悉数字集成电路的基本原理、设计方法和实现技术。

本课程设计主要包含以下内容:

1.数值系统和编码

2.逻辑功能设计:组合逻辑电路和时序逻辑电路

3.集成电路设计方法和流程

4.VHDL和FPGA实现数字逻辑电路

5.数字信号处理器

通过本次课程设计,学生将掌握数字集成电路的系统性设计思路和实现方法,具备数字电路设计的基本能力和实际操作技术,能够针对具体应用场景提出解决方案,实现数字电路的设计、验证和调试。

二、课程设计要求

1. 课程设计题目

本次课程设计的题目为“4位计数器设计”。

2. 软件工具

VHDL编程软件和EDA工具

1

实验二 集成电路原理图编辑实验实验报告

实验二  集成电路原理图编辑实验实验报告

实验二集成电路原理图编辑实验实验报告

姓名:学号:

一、实验名称

集成电路原理图编辑实验

二、实验目的

1)进一步掌握S-EDIT的基本操作(包括从组件库引用模块、加入联机、加入输入输出端口、输出成SPICE文件等)。

2)学会利用已有模块来构成一些电路。

3)充分理解电路设计模式和符号模式。

三、设计过程

1)在电路设计模式中画出反相器电路。

2)在符号模式中画出反相器符号。

3)输出成SPICE文件。

4)新增模块,在电路设计模式中画出与非门电路。

5)在符号模式中画出与非门符号。

6)输出成SPICE文件。

四、电路原理图

反相器:

与非门:

五、遇到的问题及处理方法

画与非门符号时,画弧线的Mouse Snap Grid文本框值为1,画其他线时为8。这点应注意,否则后续画其他附加符号时,因为Mouse Snap Grid值不一样,会导致一些节点无法正确连接,影响输出SPICE文件。

二选一数据选择器

二选一数据选择器

二选一数据选择器

目录

一:数据选择器的基本原理 (3)

二电路逻辑功能 (2)

2.1 电路逻辑图 (2)

2.2真值表与表达式 (3)

2.3电路设计及仿真 (3)

三版图设计 (5)

3.1总体版图设计及DRC验证 (5)

3.1.1数据选择器版图设计步骤 (5)

3.1.2版图验证 (8)

3.2版图仿真 (9)

四数据选择器版图LVS对比 (10)

五结论及体会 (12)

一:数据选择器的基本原理

数据选择器是指经过选择,把多个通道的数据传送到唯一的公共数据通道上去,实现数据选择功能的逻辑电路称为数据选择器。它的作用相当于多个输入的单刀多掷开关,其示意图如下:

图1 n位通道选择信号

数据选择器除了可以实现一些组合逻辑功能以外,还可以做分时多路传输电路、函数发生器及数码比较器等。常见的数据选择器有4选1、8选1、16选1电路。

在多路数据传送过程中,能够根据需要将其中任意一路选出来的电路,叫做数据选择器

数据选择器(MUX)的逻辑功能是在地址选择信号的控制下,从多路数据中选择一路数据作为输出信号

下图所示为二选一数据选择器原理图,a,b为输入端,sel为控制端,out为输出端

图1-1数据选择器原理图

二电路逻辑功能

2.1 电路逻辑图

=+(S是数据选择控制端,S为0时选择A,为1时选S择B)

Y SA SB

要实现2选1选择器,逻辑电路图如下所示

图2-1数据选择器逻辑电路图

2.2真值表与表达式

二选一数据选择器逻辑表达式为:Y SA SB =+

根据逻辑表达式所列真值表如下图所示

图2-2数据选择器真值表图

2.3电路设计及仿真

二选一数据选择器

二选一数据选择器

二选一数据选择器

目录

一:数据选择器的基本原理 (3)

二电路逻辑功能 (2)

2.1 电路逻辑图 (2)

2.2真值表与表达式 (3)

2.3电路设计及仿真 (3)

三版图设计 (5)

3.1总体版图设计及DRC验证 (5)

3.1.1数据选择器版图设计步骤 (5)

3.1.2版图验证 (8)

3.2版图仿真 (9)

四数据选择器版图LVS对比 (10)

五结论及体会 (12)

一:数据选择器的基本原理

数据选择器是指经过选择,把多个通道的数据传送到唯一的公共数据通道上去,实现数据选择功能的逻辑电路称为数据选择器。它的作用相当于多个输入的单刀多掷开关,其示意图如下:

图1 n位通道选择信号

数据选择器除了可以实现一些组合逻辑功能以外,还可以做分时多路传输电路、函数发生器及数码比较器等。常见的数据选择器有4选1、8选1、16选1电路。

在多路数据传送过程中,能够根据需要将其中任意一路选出来的电路,叫做数据选择器

数据选择器(MUX)的逻辑功能是在地址选择信号的控制下,从多路数据中选择一路数据作为输出信号

下图所示为二选一数据选择器原理图,a,b为输入端,sel为控制端,out为输出端

图1-1数据选择器原理图

二电路逻辑功能

2.1 电路逻辑图

=+(S是数据选择控制端,S为0时选择A,为1时选S择B)

Y SA SB

要实现2选1选择器,逻辑电路图如下所示

图2-1数据选择器逻辑电路图

2.2真值表与表达式

二选一数据选择器逻辑表达式为:Y SA SB =+

根据逻辑表达式所列真值表如下图所示

图2-2数据选择器真值表图

2.3电路设计及仿真

用文本输入法设计2选1多路选择器 EDA实验报告

用文本输入法设计2选1多路选择器 EDA实验报告

用文本输入法设计2选1多路选择器

1.实验目的和要求

本实验为综合性实验,综合了简单组合电路逻辑、QuartusII的使用方法、多层次电路设计、仿真和硬件测试等内容。其目的是熟悉QuartusII的VHDL文本设计流程全过程。

2.实验原理

2选1多路选择器真值表

s a b y

L L ×L

L H ×H

H ×L L

H ×H H

3.主要仪器设备(实验用的软硬件环境)

实验的硬件环境是:

微机一台

GW48 EDA实验开发系统一套

电源线一根

十芯JTAG口线一根

USB下载线一根

USB下载器一个

实验的软件环境是:

Quartus II 9.0软件

4.操作方法与实验步骤

首先利用QuartusII完成2选1多路选择器的文本编辑输入(mux21a.vhd)和仿真测试等步骤,然后进行仿真。最后在实验系统上进行硬件测试,实际验证本项实验的功能。

将设计好的2选1多路多路选择器看成是一个元件mux21a,利用元件例化语句描述下图,并将此文件放在同一目录E:\muxfile中。

5.实验内容及实验数据记录

(1)程序设计

ENTITY mux21a IS

PORT ( a, b, s: IN BIT;

y : OUT BIT );

END ENTITY mux21a;

ARCHITECTURE one OF mux21a IS

BEGIN

PROCESS (a,b,s)

BEGIN

IF s = '0' THEN y <= a ; ELSE y <= b ;

END IF;

END PROCESS;

END ARCHITECTURE one ;

数据选择器(MUX) 数电课件

数据选择器(MUX) 数电课件
§4·3 数据选择器(MUX) (Data Selector)
一、基本概念 1. 定义
根据选择控制信号(或地址选择码),从多个输入信号当中选择一个送到输出端的组 合逻辑电路,称为数据选择器,又叫多路选择器。
2. 框图
数据选择器的组成框图如图4.3.1—1所示。
图4.3.1—1
由图4.3.1—1可知,数据选择器通常有一个输出端
例4.3.3 试用4—1MUX实现逻辑函数 解:
F1 。AB BC AC
1. 对逻辑函数进行分离变量的处理。
F1 AB BC AC ABC ABC ABC ABC = ABC ABC AB
ABg0 ABgC ABgC ABg1
2. 列真值表和功能表;
Y4-1 E A1 A0D0 A1A0D1 A1 A0D2 A1A0D3
Y81 Y411 Y412
用两个4—1MUX级联成8—1MUX如图4.3.5—1所示。 图4.3.5—1
方法二 利用真值表或功能表 8—1MUX主要功能的功能表为
对比可得
表4.3.2—1
5. 逻辑函数表达式
4—1MUX的逻辑函数表达式为
Y E A1 A0D0 E A1 A0D1 EA1 A0D2 EA1 A0D3
E A1 A0D0 A1A0D1 A1 A0D2 A1A0D3

数据选择器(MUX)

数据选择器(MUX)
将A, B, C分别接74151的通道选择信号S2,S1,S0,
得:L = m0 ⋅ D + m1 ⋅ D + m2 ⋅ D + m3 ⋅ D + m4 ⋅ D + m5 ⋅ D + m6 ⋅0 + m7 ⋅1
上式中mi 是S2,S1,S0 (即A,B,C )构成的最小项
则 D0=D2=D, D1= D3= D4= D5=D,D6=0,D7=1
CP
S2
S1
S0
Y
转换下一组数据
16
4.4.4 数值比较器
数值比较器原理 集成数值比较器 使用与扩展
17
1.数值比较器原理
1位数值比较器
真值表:(输出高电平有效)
输入
输出
A B FA<B FA>B FA=B
FA >B
00 0
0
1
01 1
0
0
10 0
1
0
11 0
0
1
FA =B FA< B
4
4
4
4
A 3~A 0 B 3~B 0
IC5
AI'A>>BB '
1
AI'A==BB'
AI'A<<BB' A>B A=B A<B

二选一数据选择器报告

二选一数据选择器报告

EDA实验报告

组合电路设计

一、实验目的

1、熟悉quartusⅡ的VHDL文本设计全过程,

2、学习简单组合电路的设计、多层次电路设计、仿真和硬件测试。

二、实验内容

1、实验内容2:将此多路选择器看成一个元件mux21a,利用元件例化语句描述成三选一,然后进行编译、综合、仿真。

2、实验内容3:引脚锁定以及硬件下载测试。选实验电路模式5,用键1(PIO0)控制s0;用键2(PIO1)控制s1;a

3、a2和a1分别接clock5、clock0和clock2;输出信号outy仍接spker,通过短路帽选择clock0接256Hz信号,clock5接1024Hz信号,aclock2接8 Hz信号。最后进行编译、下载和硬件测试实验。

三、实验器材

PC机一台、Quartus II软件、EDA实验箱一台、下载电缆一根(已接好)。四、实验程序

实验内容2:三选一

library ieee;

use ieee.std_logic_1164.all;

entity muxk is

port(a1,a2,a3: in std_logic;

s0,s1: in std_logic;

outy: out std_logic);

end entity muxk;

architecture bhv of muxk is

component mux21a

port( a,b: in std_logic;

s: in std_logic;

y: out std_logic);

end component;

signal tmp: std_logic;

begin

EDA实验报告

EDA实验报告

EDA 实验报告

实验一:组合电路的设计

实验内容是对2选1多路选择器VHDL 设计,它的程序如下:

ENTITY mux21a IS

PORT ( a, b : IN BIT; s : IN BIT; y : OUT BIT ); END ENTITY mux21a;

ARCHITECTURE one OF mux21a IS SIGNAL d,e : BIT; BEGIN d <= a AND (NOT S) ; e <= b AND s ; y <= d OR e ;

END ARCHITECTURE one ;

Mux21a 仿真波形图

以上便是2选1多路选择器的VHDL 完整描述,即可以看成一个元件mux21a 。mux21a 实体是描述对应的逻辑图或者器件图,图中a 和b 分别是两个数据输入端的端口名,s 为通道选择控制信号输入端的端口名,y 为输出端的端口名。Mux21a 结构体可以看成是元件的内部电路图。最后是对仿真得出的mux21a 仿真波形图。

Mux21a 实体

Mux21a 结构体

实验二:时序电路的设计

实验内容D 触发器的VHDL 语言描述,它的程序如下:

LIBRARY IEEE ;

USE IEEE.STD_LOGIC_1164.ALL ; ENTITY DFF1 IS

PORT (CLK : IN STD_LOGIC ; D : IN STD_LOGIC ; Q : OUT STD_LOGIC ); END ;

ARCHITECTURE bhv OF DFF1 IS BEGIN PROCESS (CLK) BEGIN

《集成电路设计》课程设计实验报告

《集成电路设计》课程设计实验报告

《集成电路设计》课程设计实验报告

(前端设计部分)

课程设计题目:数字频率计

所在专业班级:电子科

作者姓名:

作者学号:

指导老师:

目录

(一)概述 2

2

一、设计要求2

二、设计原理 3

三、参量说明3

四、设计思路3

五、主要模块的功能如下4

六、4

七、程序运行及仿真结果4

八、有关用GW48-PK2中的数码管显示数据的几点说明5(三)方案分析 7

10

11

(一)概述

在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测量就显得十分重要。测量频率的方法有多种,数字频率计是其中一种。数字频率计是计算机、通讯设备、音频视频等科研生产领域不可缺少的测量仪器,是一种用十进制数字显示被测信号频率的数字测量仪器。数字频率计基本功能是测量诸如方波等其它各种单位时间内变化的物理量。在进行模拟、数字电路的设计、安装、调试过程中,由于其使用十进制数显示,测量迅速,精确度高,显示直观,经常要用到频率计。

频率计的基本原理是应用一个频率稳定度高的时基脉冲,对比测量其它信号的频率。时基脉冲的周期越长,得到的频率值就越准确。通常情况下是计算每秒内待测信号的脉冲个数,此时我们称闸门时间是1秒。闸门时间也可以大于或小于1秒,闸门的时间越长,得到的频率值就越准确,但闸门的时间越长则每测一次频率的间隔就越长,闸门时间越短,测的频率值刷新就越快,但测得的频率精度就受影响。

本文内容粗略讲述了我们小组的整个设计过程及我在这个过程中的收获。讲述了数字频率计的工作原理以及各个组成部分,记述了在整个设计过程中对各个部分的设计思路、程序编写、以及对它们的调试、对调试结果的分析。

基础项目(2)二选一数据选择器的设计

基础项目(2)二选一数据选择器的设计

基础项⽬(2)⼆选⼀数据选择器的设计

写在前⾯的话

数据选择器在数字电路设计中的应⽤尤为⼴泛。同时,作为基础的电路功能单元,也⽐较适合作为初学者的⼊门实验。现在梦翼师兄陪⼤家⼀起来设计⼀个最基础的数据选择器。

项⽬需求

设计⼀个⼆选⼀数据选择器,然后⽤⼀路控制信号选择输出数据选通哪⼀路输⼊的数据信号。

系统架构

模块功能介绍

模块名功能描述

mux2通过Data_sel 选择输出结果的值

顶层模块端⼝描述

端⼝名端⼝说明

Data_a A通道数据输⼊

Data_b B通道数据输⼊

Data_out数据输出端

Data_sel数据选通控制

代码解释

mux2代码解释

/****************************************************

* Engineer : 梦翼师兄

* QQ : 761664056

* The module function:⼆选⼀多路器

*****************************************************/

00 module mux2(

01 data_a, //A通道数据输⼊

02 data_b, //B通道数据输⼊

03 data_sel, //输出数据选通信号

04 data_out //数据输出

05 );

06 //系统输⼊

07 input data_a; //A通道数据输⼊

08 input data_b; //B通道数据输⼊

09 input data_sel; //输出数据选通信号

10 //系统输出

11 output reg data_out;//数据输出

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

课程设计任务书

学生姓名:助人为乐专业班级:不计得失

指导教师:一定过工作单位:信息工程学院

题目: 二输入数据选择器版图设计

初始条件:

计算机、ORCAD软件、L-EDIT软件

要求完成的主要任务:

1、课程设计工作量:2周

2、技术要求:

(1)学习ORCAD软件、L-EDIT软件软件。

(2)设计一个二输入数据选择器电路。

(3)利用ORCAD软件、L-EDIT软件对该电路进行系统设计、电路设计和版图设计,并进行相应的设计、模拟和仿真工作。

3、查阅至少5篇参考文献。按《武汉理工大学课程设计工作规范》要求撰写设计报告书。全文用A4纸打印,图纸应符合绘图规范。

时间安排:

2013.11.22布置课程设计任务、选题;讲解课程设计具体实施计划与课程设计报告格式的要求;课程设计答疑事项。

2013.11.25-11.27学习ORCAD软件、L-EDIT软件,查阅相关资料,复习所设计内容的基本理论知识。

2013.11.28-12.5对二输入数据选择器电路进行设计仿真工作,完成课设报告的撰写。

2013.12.6提交课程设计报告,进行答辩。

指导教师签名:年月日

系主任(或责任教师)签名:年月日

目录

1.绪论 (2)

2.软件简介 (3)

2.1Cadence简介 (3)

2.2L-edit简介 (3)

3.二输入多路选择器电路设计及仿真 (4)

3.1数据选择器原理 (4)

3.2电路原理图的绘制 (5)

3.3电路图仿真 (6)

4.集成电路版图设计 (7)

4.1CMOS数字电路基本单元版图设计 (7)

4.1.1反相器版图设计 (7)

4.1.2与非门版图设计 (8)

4.2整体版图设计 (9)

4.3设计规则的验证及结果 (9)

5.总结 (10)

参考文献 (11)

摘要

I C(“集成电路”)产业是全球高新技术产业的前沿与核心,是最具活力和挑战性的战略产业。自2000年来,在国家政策的大力支持下,我国集成电路产业得到了长足的发展,而作为集成电路产业最前沿的设计业更是呈现出“百花齐放”的繁荣景象。本文主要介绍了数据选择器的版图设计基本方法,实现了版图设计的基本要求。

关键词:版图,数据选择器,Orcad

1绪论

集成电路从60年代开始,经历了小规模集成,中规模集成,大规模集成,到目前的超大规模集成。单个芯片上已经可以制作含几百万个晶体管的一个完整的数字系统或数模混合的电子系统。

I C(“集成电路”)产业是全球高新技术产业的前沿与核心,是最具活力和挑战性的战略产业。自2000年来,在国家政策的大力支持下,我国集成电路产业得到了长足的发展,而作为集成电路产业最前沿的设计业更是呈现出“百花齐放”的繁荣景象,作为产业命脉的IC设计人才,在IC产业最集中的长三角地区也仅仅只有几千人。所以拥有一定工作经验的设计工程师,据国内知名猎头公司烽火猎聘公司数据显示ic已成为人才猎头公司争相角逐的“宠儿”。

在整个设计过程中,版图(layout)设计或者称作物理设计(physical design)是其中重要的一环。他是把每个原件的电路表示转换成集合表示,同时,元件间连接的线网也被转换成几何连线图形。

IC版图设计是指将前端设计产生的门级网表通过EDA设计工具,根据产品前段设计线路或文件要求,按照工艺设计规则,进行布局布线和进行物理验证并最终产生供制造用的GDSII数据的过程。

其主要工作职责有:芯片物理结构分析、逻辑分析、建立后端设计流程、版图布局布线、版图编辑、版图物理验证、联络代工厂并提交生产数据。作为连接设计与制造的桥梁,合格的版图设计人员既要懂得IC设计、版图设计方面的专业知识,还要熟悉制程厂的工作流程、制程原理等相关知识。

2软件简介

2.1 Cadence简介

Cadence Design Systems是一个专门从事电子设计自动化(EDA)的软件公司,由SDA Systems和ECAD两家公司于1988年兼并而成。是全球最大的电子设计技术(Electronic Design Technologies)、程序方案服务和设计服务供应商。其产品涵盖了电子设计的整个流程,包括系统级设计,功能验证,IC综合及布局布线,模拟、混合信号及射频IC设计,全定制集成电路设计,IC物理验证,PCB设计和硬件仿真建模等。包括原理图输入、生成、模拟数字/混合电路仿真,fpga设计,pcb编辑和自动布局布线mcm电路设计、高速pcb 版图的设计仿真。

Cadence Allegro系统互连平台能够跨集成电路、封装和PCB协同设计高性能互连。应用平台的协同设计方法,工程师可以迅速优化I/O缓冲器之间和跨集成电路、封装和PCB 的系统互联。该方法能避免硬件返工并降低硬件成本和缩短设计周期。

2.2 L-edit简介

Tanner集成电路设计软件是由Tanner Research 公司开发的基于Windows平台的用于集成电路设计的工具软件。该软件功能十分强大,易学易用,包括S-Edit,T-Spice,W-Edit,L-Edit与LVS,从电路设计、分析模拟到电路布局一应俱全。其中的L-Edit版图编辑器在国内应用广泛,具有很高知名度。

L-Edit Pro是Tanner EDA软件公司所出品的一个IC设计和验证的高性能软件系统模块,具有高效率,交互式等特点,强大而且完善的功能包括从IC设计到输出,以及最后的加工服务,完全可以媲美百万美元级的IC设计软件。L-Edit Pro包含IC设计编辑器(Layout Editor)、自动布线系统(Standard Cell Place & Route)、线上设计规则检查器(DRC)、组件特性提取器(Device Extractor)、设计布局与电路netlist的比较器(LVS)、CMOS Library、Marco Library,这些模块组成了一个完整的IC设计与验证解决方案。L-Edit Pro丰富完善的功能为每个IC设计者和生产商提供了快速、易用、精确的设计系统。

相关文档
最新文档