多功能数字钟的设计

合集下载

多功能数字钟-电子设计

多功能数字钟-电子设计

多功能数字钟-电子设计
第一步实现多功能数字钟的基本功能,包括显示当前时间和设置定时
功能。

为了实现这一功能,我们需要使用一个定时器,以实现每秒钟更新
一次时间并显示在LCD屏上,同时实现定时功能。

第二步用一个按钮来切换显示当前时间和定时时间。

为了实现这一功能,我们需要在LCD屏上显示当前时间和定时时间,当按钮按下时,可以
改变当前时间和定时时间的显示。

第三步加入计时功能,使用者可以设置一个计时时间,当计时结束时,会有一个提醒和发出报警声。

为了实现这一功能,我们需要使用一个计数器,计算出时间差,当到达设定的计时时间时,发出报警声或者显示一个
提醒。

第四步增加闹钟功能,使用者可以设置一个闹钟时间,当达到闹钟时
间时,会有一个提醒和发出报警声。

为了实现这一功能,我们需要在指定
的时间段内,获取当前时间,通过一个实时检查程序,来实现闹钟功能,
当到达时间时,发出报警声或者显示一个提醒。

第五步加入天气预报功能,使用者可以查询当前城市的天气情况,以
及未来三天的天气预报。

为了实现这一功能,我们需要使用一个API来获
取天气情况,并将获取的信息在LCD屏上显示出来,方便使用者查询。

51 多功能数字钟设计

51 多功能数字钟设计

多功能数字钟设计时钟,自从它发明的那天起,就成为人类的朋友,但随着时间的推移,人们对它的功能又提出了新的要求,怎样让时钟更好的为人民服务,怎样让我们的老朋友焕发青春呢?这就要求人们不断设计出新型时钟。

本方案设计的多功能电子钟除了传统的显示时间功能之外还可以测试温度、电网频率、电压、并提供了过压报警、非接触止闹等功能。

其中温度采用AD590温度传感器电路测得,非接触止闹则采用红外控制技术实现。

二方案论证时钟模块方案方案一基本门电路搭建用基本门电路来实现数字钟,电路结构复杂,故障系数大,不易调试。

方案二单片机编程用单片机设计电路,由于使用软硬件结合的方式,所以电路结构简单、调试也相对方便。

与第一种方案比较优点是非常明显的。

我们选择了第二种方案测温模块方案方案一热电阻测温热电阻测量温度,精度和灵敏度都可以,但是它的电阻值与温度的线性关系不好.不便用数字的方法处理。

方案二热电偶测温热电偶是温度测量中应用最广泛的一种传感器 .在一般的测量和控制中,常用于中高温的温度检测.在测量中需要温度的冷端补偿,在数字电子中实现不方便方案三 AD590加运算放大器二端式半导体温度传感器 AD590的工作电压要求不高,测温的范围比较宽最重要的是它的输出电流是紧随温度变化的电流源,所以它的线性非常好.我们选择了这种方案。

测电压模块方案方案一取样测试。

用高速的取样电压取样,可得电压的峰值与主频率,并根据其电压大小进行相应的报警操作。

此方案功能实现复杂,造价相对较高,不适合一般的家用。

方案二测得电压有效值测电压的有效值的方法比较简单,可以把一段时间内的电压的整体情况反映出来 ,但不能测出电压的瞬时变化的情况,对电网的突然冲击不能测出.方案三测得峰值推得有效值。

交流电经过整流滤波后得到直流电压大小就是交流电的峰值,分压测出此电压大小,后根据交流电有效值和峰值的关系可推得有效值。

这种方案采用的电路简单,实现方便,易于调试,精度较高,为我们的设计采用。

多功能数字钟的设计与仿真.

多功能数字钟的设计与仿真.

目录1绪论 (1)2P r o t e u s软件介绍 (2)3数字钟的原理框图 (4)4电路的设计 (5)4.1主体电路的设计 (5)4.1.1秒脉冲电路的设计 (5)4.1.2计时及状态转换功能的实现 (6)4.1.3译码与显示电路的设计 (8)4.1.4校时电路的设计 (10)4.1.5主体电路图 (11)4.2功能扩展电路的设计 (12)4.2.1定时控制电路的设计 (12)4.2.2整点报时电路的设计 (15)4.3整体电路的设计 (17)5整体电路的仿真 (19)6电路功能测试以及常见问题解决本法 (20)6.1电路功能测试 (20)6.2常见问题解决办法..............................................................................2 0 7元件清单 (21)8心得体会 (22)9参考文献 (23)多功能数字钟的设计1.绪论数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更长的使用寿命,并且可以实现更多的功能,如:定时控制、整点报时、闹钟、触摸报整点时数等,在现实生活中,各种数字钟已得到了非常广泛的使用。

数字钟的设计方法有许多种,例如,可用中小规模集成电路组成数字钟,也还可以利用单片机来实现数字钟等。

这些方法都各有其特点,其中利用中小规模集成电路组建数字钟,原理简单,但由于集成电路集成度有限,对于需要实现较多功能的电路设计比较复杂,对于制作者焊接和布线有较高的要求。

用单片机实现的电子钟具有结构简单,并便于功能的扩展,但需要涉及到汇编以及C语言编写程序,对设计者有较高的要求。

本次设计为用中小规模集成电路组成数字钟。

2.Proteus软件介绍Proteus软件是一种低投资的电子设计自动化软件,提供可仿真数字和模拟、交流和直流等数千种元器件和多达30多个元件库。

多功能数字钟的设计与制作

多功能数字钟的设计与制作

一、多功能数字钟的设计与制作(一)相关知识:多位数(DlGIT)的驱动方式1.如采用直接驱动法驱动4个七段显示器,共需要4×8=32条的I/O线:而采用解码器驱动也要4X4=16条的I/O线,形成了I/O端口的浪费。

2.扫描显示法所需的I/O数为8+n条(n个显示器),可节省硬件电路。

3.扫描显示法要注意两点:(1)点亮时要让LED得到最大的顺向电流,通常一个LED需要10mA。

在做四位数的扫描时,每一个LED的平均电流值只有1/4的最高电流值,因此扫描时要得到适当的亮度最好有30mA以上的瞬间电流,即将LED的限流电阻降低到20~100Ω。

(2)在切至下一个显示器时,应把上一个先关闭一段时问(约50μs),再将下一个显示器扫描信号送出,以避免上一个的显示数据显示到下一个显示,即避免鬼影(TBLANK) 的产生.下图为显示器切换时间差示意图。

显示器切换时间差示意图。

4.扫描频率必须高于视觉暂留频率16Hz以卜(即62毫秒以上(二)功能说明1.开机时,显示12:00:00的时间开始计时。

2.P0.0控制“秒”的调整.每按一次加1秒,P0.1控制“分”的调整.每按一次加1分P0.2控制“时”的调整,每按一次加1个小时;(三)硬件:如图所示时钟电路图(四)程序:shiz.ASMORG 00H ;主程序起始地址AJMP START ;跳至主程序ORG 0BH ;TIMER0中断起始地址AJMP TIM0 ;跳至TIMER0中断子程序TIM0START:MOV SP,#70H ;设置堆栈在70HMOV 28H,#00 ;显示寄存器初值为0 0MOV 2AH,#12H ;“时”寄存器l忉值为12HMOV 2BH,#00 ;“分”寄存器初值为0 0HMOV 2CH,#00 :“秒”寄存器初值为00HMOV TMOD,#00000001B :设TIMER0为MODElMOV TH0,#HIGH(65536—4000) ;计时中断为4000微秒MOV TL0,#LOW(6553 6—4000)MOV IE,#10000010B ;TIMER0中断使能MOV R4,#250 ;中断250次SETB TR0 j启动TIMER0LOOP:JB P0.0,N2 ;PO.O (秒)按了?不是则跳至N2检查PO.1 ACALL DELAY ;消除抖动MOV A,2CH ;将秒寄存器的值载入AADD A,#01 ;A的内容加1DA A ;做十进位调整MOV 2CH,A ;将A的值存入秒寄存器CJNE A,#60H,N1 ;是否等于60秒?不是则跳至N1MOV 2CH,#00 ;是则清除秒寄存器的值为00N1:JNB P0.0,$ ;P0.0(秒)放开了?ACALL DELAY ;消除抖动N2:JB P0.1,N4 ;p0.1 (分)按下了吗?不是则跳至N4检查P0.2 ACALL DELAY ;消除抖动MOV A,2BH ;将分寄存器的值载入AADD A,#01 ;A的内容加1DA A ;做十进位调整MOV 2BH.A ;将A的值存入分寄存器CJNE A,#60H,N3 ;是否等于60分?不是则跳至N1MOV 2BH.#00 ;是则清除分寄存器的值为00N3:JNB P0.1,$ ;p0.1 (秒)放开了?CALL DELAY ;消除抖动N4:JB P0.2,LOOP ;P0.2 1秒)按下了吗?不足则跳至LOOP CALL DELAY ;消除抖动MOV A,2AH ;将时寄存器的值载入AADD A.#01 :A的内容加1DA A ;做十进位调整MOv 2AH,A ;将A的值存入时寄存器CJNE A,#24H,N5 ;是否等于24时?不是则跳至N5MOV 2AH,#00 ;是则清除时寄存器的值为00N5:JNB P0.2,$ ;P0.2(秒)放开了?CALL DELAY :消除抖动JMP LOOPTIM0:MOV TH0,#HIGH(6 553 6—4000) ;重设计时4 000微秒NOV TL0,#LOW(6553 6—4 000)PUSH ACC :将A的值暂存于堆栈PUSH PSW ;将PSW的值暂存于堆栈DJNZ R4 X2 :计时1秒MOV R4,#250CALL CLOCK ;调用计时子程序CLOCKCALL DISP :调用显示子程序X2:CALL SCAN ;调用扫描子程序POP PSW ;至堆栈取叫PSW的值POP ACC :至堆栈取回ACC的值SCAN:MOV R0,#28H ;(28H)为扫描指针INC @R0 ;扫描指针加lCJHE @R0.#6,X3 ;扫描完6个显示器?不是跳至X3MOV @R0,#0 ;是则扫描指针为0x3: MOV A,@R0 ;扫描指针载入AADD A,#20H ;A加常数20H(显示寄存器地址)=各时间;显示区地址;存入R1=各时间显示地址MOV R1,A ;扫描指针存入AMOV A,@R0 ;将A高低4位交换(P1高4位为扫描值,SW AP A ;低4位为显示数据值)ORL A,@R1 ;扫描值+显示值MOV P1,A ;输出至P1RETCLOCK:MOV A,2CH ;(2CH)为秒寄存器ADD A,#l ;加1秒DA A ;做十进制调整MOV 2CH,A ;存入秒寄存器CJNE A,#60H,X4 ;是否超过60秒?不是则跳至X4MOV 2CH,#00 ;是则清除为00MOV A,2BH ;(2BH)为分寄存器ADD A,#l ;加1分DA A ;做十进制调整MOV 2BH,A ;存入分寄存器CJNE A,#60H.X4 ;是否超过60分?不是则跳至X4MOV 2BH,#00 ;是则清除为0 0MOV A,2AH 7(2AH)为时寄存器ADD A,#l ;加l时DA A ;做十进制调整MOV 2AH,A ;存入时寄存器CJNE A,#24H,X4 ;是否超过24时?不是则跳至x4MOV 2AH.#00 ;是则清除为00X4:RETDISP:MOV R1,#20H ;(20H)为显示寄存器.R1=20HMOV A,2CH ;将秒寄存器的内容存入AMOV B,#10H ;设B累加器的值为10HDIV AB ;A÷B,商(十位数)存入A.余数(个位数) ;存入BNOV @R1,B :将B的内容仔入(20H)INC R1 ;RI=21HMOV @R1,A ;将A的内容存入(21H)INC R1 ;R1=22HNOV A,2BH ;将分寄存器的内容仃入ANOV B,#10H ;设B累加器的值为10DIV AB ;A÷B,商(十位数)存入A,余数(个位数);存入BMOV @R1,B ;将B的内容存入(22H)INC Rl ;R1= 23HNOV @R1,A ;将A的内容存入(23H)INC R1 ;R1=24HMOV A,2AH ;将时寄存器的内容存入AMOV B,#10H ;设B累加器的值为10HDIV AB ;A÷B,商(十位数)存入A.余数(个位数) ;存入BM0v @R1,B ;将B的内容存入(24H)INC R1 ;R1=25HMOV @R1,A ;将A的内容存入(25H)RETDELAY:MOV R6,#60 ;5毫秒D1:MOV R7,#248DJNZ R7,$DJNZ R6,D1RETEND。

电子综合设计多功能数字钟报告

电子综合设计多功能数字钟报告

电子综合设计多功能数字钟报告报告内容如下:一、设计目的和原理多功能数字钟是一种能够显示时间,并具有闹钟、计时、倒计时等功能的电子设备。

本设计的目的是通过FPGA实现一个多功能数字钟的功能,以实现时间的显示和闹钟的设置功能。

二、设计方案和实现1.硬件设计方案:本设计使用FPGA作为主控芯片,使用七段数码管作为显示器,通过与FPGA的IO口连接来实现时间的显示功能。

同时,使用按键作为输入进行功能的选择和设置。

2.硬件连接:将FPGA的IO口连接到七段数码管的控制端,通过IO口输出相应的数字信号来控制数码管的亮灭。

将按键连接到FPGA的IO口,通过IO口输入按键的信号。

此外,还需要连接一个晶振电路来提供时钟信号。

3.软件设计方案:本设计使用VHDL语言进行程序设计,通过状态机来实现多功能数字钟的功能。

具体实现包括时间的显示、闹钟的设置和启动、计时和倒计时功能的实现。

通过按键的输入来切换不同的状态,实现不同功能的切换和设置。

4.软件实现具体步骤:(1)定义状态机的状态,包括时间显示、闹钟设置、计时和倒计时等状态。

(2)在时间显示状态下,通过FPGA的IO口输出相应的数字信号来控制七段数码管的亮灭,实现时间的显示。

(3)在闹钟设置状态下,通过按键的输入来设置闹钟时间,并将设置好的时间保存在寄存器中。

(4)在计时和倒计时状态下,通过按键的输入来实现计时和倒计时功能,并通过七段数码管的显示来实时显示计时和倒计时的时间。

以下为本设计的完整程序代码:```vhdl--时钟频率--定义状态signal state : state_type;--定义时钟、按键和数码管信号signal clk : std_logic;signal key : std_logic_vector(1 downto 0);signal seg : std_logic_vector(6 downto 0);--闹钟时间寄存器signal alarm_hour_reg : std_logic_vector(5 downto 0);signal alarm_min_reg : std_logic_vector(5 downto 0);--计时和倒计时寄存器signal count_up_reg : std_logic_vector(23 downto 0); signal count_down_reg : std_logic_vector(23 downto 0); signal count_down_flag : std_logic := '0';beginclock : processbeginwhile true loopclk <= '0';wait for 10 ns;clk <= '1';wait for 10 ns;end loop;end process;key_scan : process(clk)beginif rising_edge(clk) thenkey <= key_scan_func; -- 按键扫描函数end if;end process;fsm : process(clk, key)beginif rising_edge(clk) thencase state isif key = "10" then -- 第一个按键按下state <= set_alarm;elsif key = "01" then -- 第二个按键按下state <= count_up;end if;when set_alarm =>seg <= set_alarm_func; -- 闹钟设置函数if key = "00" then -- 两个按键同时按下elsif key = "01" then -- 第一个按键按下state <= count_up;end if;when count_up =>seg <= count_up_func; -- 计时函数if key = "00" then -- 两个按键同时按下elsif key = "10" then -- 第二个按键按下state <= count_down;count_down_flag <= '1';end if;when count_down =>seg <= count_down_func; -- 倒计时函数if key = "00" then -- 两个按键同时按下count_down_flag <= '0';elsif key = "01" then -- 第一个按键按下state <= count_up;count_down_flag <= '0';end if;end case;end if;end process;--数码管信号和显示模块的连接display : entity work.seg_displayport mapclk => clk,seg => segend architecture;```四、总结与展望通过FPGA实现多功能数字钟的设计,在硬件和软件的配合下,实现了时间的显示和闹钟的设置功能。

多功能数字钟电路设计

多功能数字钟电路设计

多功能数字钟电路设计
1.时钟显示:设计一个数字时钟显示电路,可以显示当前的时间(小
时和分钟)。

可以使用七段显示器来显示数字。

2.闹钟功能:设计一个闹钟功能,可以设置闹钟时间,并在到达闹钟
时间时发出提示声音或闹铃。

3.温度显示:设计一个温度传感器电路,并将当前温度显示在数字时
钟上。

4.日历功能:设计一个日历功能,可以显示当前的日期和星期。

5.定时器功能:设计一个定时器功能,可以设置一个特定的时间间隔,并在到达时间间隔时发出提示声音或闹铃。

6.闹钟休眠功能:设计一个闹钟休眠功能,可以设置一个特定的时间
间隔,在此时间间隔内按下按钮可以将闹钟功能暂时关闭。

7.闹钟重复功能:设计一个闹钟重复功能,可以设置一个特定的时间
间隔,使闹钟在每天相同的时间段重复响铃。

8.亮度调节功能:设计一个亮度调节功能,可以调整数字时钟的显示
亮度。

这些功能可以根据需求进行组合设计,可以使用逻辑门、计数器、显
示器驱动器、温度传感器、按钮等元件来完成电路设计。

多功能数字钟的设计

多功能数字钟的设计

多功能数字钟的设计1 引言数字钟是用数字集成电路或专用芯片做成的计时器,一般采用液晶显示器或发光二极管直接显示“时”、“分”、“秒”,具有直观性。

另外,它还具有校时,整点报时,按作息时间报时等功能,所以得到了广泛的应用。

在控制系统中,也常用作定时控制的时钟源。

2 多功能数字钟的设计2.1设计目的设计制作一台数码管显示的数字钟2.2设计要求“秒”的功能。

“分”、1时钟功能。

具有直接显示“时”、2具有能自动校准时、分的功能。

3能自动整点报时。

2.3电路总体框图设计译码驱动译码驱动译码驱动译码驱动译码驱动译码驱动时十位时个位分十位分个位秒十位秒个位时分秒60进制计数器24进制计数器进制计数器60秒脉冲分脉冲时脉冲校时电路图1 数字钟的结构框图多功能数字钟的设计如图1,是数字时钟电路的基本结构框图,数字钟一般由振荡器、分频器、计数器、译码器、显示器校时电路、报时电路等组成。

它的计时周期为24小时,显示满刻度为23时59分59秒。

其工作原理简述如下:秒脉冲发生器产生频率稳定度很高的秒脉冲,秒脉冲被送到一个六十进制秒计数器计数,将计数结果送至秒个位和十位译码器译码,译码结果分别由两只七段半导体数码管以十进制数的形式显示出来。

当秒六十进制数累计到第59秒时,若再来一个秒脉冲,秒计数器的进位输出就产生进位脉冲(分计数脉冲),同时,秒计数器的十位和个位都复位到零。

分计数脉冲又被送到分六十进制计数器计数,经译码电路译码后数码管显示相应的分数。

当计满59分59秒时,若再来一个秒脉冲,则分计数器便向时计数器送出十计数脉冲,同时,分、秒计数器复位到零。

时计数器是一个24进制的计数器,所以,当计数器显示23时59分59秒时,若再来一个秒脉冲,则时、分、秒计数器都应回到零,表示已达到午夜零点。

当计数的起始时间与标准时间不一致时,校时电路就起到作用。

2.4振荡器及分频器振荡器是计时器的核心,振荡器的稳定度和频率的精度决定了计时器的精确度,所以通常用石英晶体来构成振荡器。

数字钟多功能课程设计

数字钟多功能课程设计

数字钟多功能课程设计一、课程目标知识目标:1. 让学生掌握数字钟的基本原理和组成,理解时钟的时、分、秒显示功能的实现。

2. 使学生了解和掌握数字钟多功能设计的相关知识,如闹钟、计时器、温度显示等。

3. 让学生掌握数字钟程序设计的步骤和方法,学会使用相关软件和编程语言进行程序编写。

技能目标:1. 培养学生动手实践能力,能够独立完成数字钟的搭建和程序设计。

2. 培养学生运用所学知识解决实际问题的能力,能够根据需求为数字钟增加新功能。

3. 提高学生的团队协作能力,学会在项目中进行有效沟通与分工。

情感态度价值观目标:1. 培养学生对电子科技的兴趣和热情,激发创新意识。

2. 培养学生严谨的科学态度,注重实验过程中的细节和精确性。

3. 培养学生珍惜时间、合理安排时间的观念,提高时间管理能力。

本课程针对中学生设计,结合学生年龄特点和知识水平,注重理论与实践相结合,以培养学生的动手实践能力和创新精神为核心。

课程目标明确、具体,可衡量,便于后续教学设计和评估。

在教学过程中,教师应关注学生的个体差异,给予不同层次的学生适当指导,使他们在课程中都能获得成长和收获。

二、教学内容1. 数字钟基本原理:介绍时钟的工作原理,数字显示技术,以及时、分、秒的计数关系。

教材章节:《电子技术》第三章第三节“数字显示技术”。

2. 数字钟的组成:分析数字钟的硬件组成,包括微控制器、时钟芯片、显示模块等。

教材章节:《电子技术》第三章第四节“数字时钟电路”。

3. 数字钟多功能设计:讲解闹钟、计时器、温度显示等功能的设计与实现。

教材章节:《电子技术》第四章“数字时钟应用实例”。

4. 程序设计方法:学习数字钟程序设计的步骤,使用编程软件和编程语言进行程序编写。

教材章节:《计算机编程》第二章“C语言基础”。

5. 实践操作:指导学生动手搭建数字钟电路,编写程序,实现基本功能及拓展功能。

教材章节:《电子技术实验》第五章“数字时钟设计与制作”。

6. 项目评估:评估学生完成项目的质量,包括功能实现、程序优化、团队协作等方面。

多功能数字钟的设计报告

多功能数字钟的设计报告

多功能数字钟的设计报告
一、简介
本项目为一款多功能数字钟,考虑到时代的发展,利用计算机技术和
电子工艺,设计出一款全新的数字钟,它可以满足日常生活中的不同需求。

二、功能
1、设置时间和日期的功能。

2、支持闹钟功能,可以设置多个闹钟,每天自动响铃提醒。

3、支持倒计时功能,用户可以设置倒计时的时间,可以实现计时功能。

4、支持自定义界面功能,用户可以根据自己的喜好来设置数字钟的
界面和背景图案。

5、钟表外观强大,具有耐用、耐磨、耐高温等特点,能够满足不同
应用环境的要求。

三、硬件系统
本项目采用先进的微控制芯片技术,设计制造的多功能数字钟由主控
单元、传感器、触摸控制板、显示屏、外壳等组成。

1、主控单元:采用先进的微控制器进行控制,并结合定时器、中断
处理模块进行时间控制,主控单元负责接收传感器信号,控制显示屏信息,实现时钟的功能。

2、传感器:采用光电传感器、按键传感器等,可以有效地接收外部
信号,实现对外部信号的检测。

3、触控板:采用触摸传感技术,可以有效地实现用户对时钟的操作,例如调节时间、设置闹钟等。

多功能数字钟的设计

多功能数字钟的设计

《Protel应用》课程设计任务书学生姓名:专业班级:指导教师:黄铮工作单位:信息工程学院题目:多功能数字钟的设计主要目的就是对学生进行基础课程、基本技能、基本动手能力的强化训练,提高学生的基础理论知识、基本动手能力,提高人才培养的基本素质。

一、训练内容和要求1、绘制具有一定规模、一定复杂程度的电路原理图*.sch(自选)。

可以涉及模拟、数字、高频、单片机、或者一个具有完备功能的电子电路系统。

2、绘制相应电路原理图的双面印刷版图*.pcb。

对电路原理图进行仿真,给出仿真结果(如波形*.sdf、数据)并说明是否达到设计意图。

3、基本动手能力和知识应用能力强化训练1)学习PROTEL软件;2)绘制电路的原理图和PCB版图,要求图纸绘制清晰、布线合理、符合绘图规范;4、查阅至少5篇参考文献,按《武汉理工大学课程设计工作规范》要求撰写基础强化训练报告书,全文用A4纸打印。

二、初始条件模电数电基本知识;计算机;MULTISIM软件;PROTEL软件时间安排:6.13:理论设计6.14~6.17:安装调试仿真6.18~6.19:撰写报告6.20:答辩指导教师签名:年月日系主任(或责任教师)签名:年月日目录摘要1Abstract21 设计要求32.1脉冲产生电路32.1.1振荡器的设计32.1.2分频器的设计52.2记时电路62.2.1分秒记时部分设计72.2.2时记时部分设计82.2.3显示电路82.2.3校准电路92.2.3总体设计电路102.2.3仿真验证113 Protel的运用123.1创建项目123.2摆放元件143.3绘制原理图153.4更改元件属性163.5电气规则检查163.6生成网络表214 PCB(印刷电路板)制作204.1创建PCB文件204.2制作PCB204.3.1制作边框204.3.2加载网络表204.3.3摆放元件214.3.4自动布线215小结与体会236 参考文献24摘要Protel 99SE是当今最流行的电子电路计算机辅助设计软件之一,Protel 99SE 由两大部分组成:电路原理图设计和多层印刷电路板设计。

多功能数字钟电路设计

多功能数字钟电路设计

多功能数字钟电路设计
1.使用4个74LS47或者74LS247芯片来驱动4个7段数码管。

2.将4个74LS47或74LS247芯片的BCD输入引脚连接到一个4位
BCD计数器芯片(例如74LS90)的输出引脚,以实现时间的计数功能。

3.使用一个555定时器芯片作为时钟源,通过调整电阻和电容值来实
现所需的时间间隔。

4.将555定时器芯片的输出连接到74LS90计数器芯片的时钟输入引脚,以驱动时间的累加。

5.通过连接开关和辅助电路来实现设置时间、闹钟和其他功能。

例如,可以使用一个74LS148编码器芯片和开关来设置钟表的时间。

7.使用逻辑门芯片(例如74LS32)来实现闹钟功能,可以通过设置
的开关来触发警报。

8.连接驱动电路和7段数码管,以实现显示功能。

这是一个基本的多功能数字钟电路设计,可以根据具体需求进行进一
步修改和扩展。

多功能数字钟-电子设计

多功能数字钟-电子设计

多功能数字钟-电子设计设计一个多功能数字钟,可以显示时间、日期、温度,并能设置闹钟和倒计时功能。

电子设计:1.采用LED数码管显示时间、日期、温度,可以选择7段数码管或者共阳共阴的数码管。

2.采用实时时钟芯片,能够准确读取时间和日期。

3.通过温度传感器读取室内温度,并在数码管上显示。

4.设计按钮控制系统,包括设置时间、日期、闹钟和倒计时功能。

5.设置闹钟功能,可以设定每天重复或只响一次,并能够自定义铃声。

6.设置倒计时功能,可以设定倒计时时间,并能够显示剩余时间。

7.设计电源接口,可以使用电池或外部电源供电。

硬件设计:1.使用微控制器作为控制中心,控制LED数码管的显示和按钮的输入。

2.将实时时钟芯片连接到微控制器,通过I2C或SPI协议读取时间和日期。

3.连接温度传感器与微控制器,通过模拟输入端口读取温度值。

4.设计按钮接口,将按钮连接到微控制器的GPIO引脚,用于接收用户的操作。

5.设计蜂鸣器接口,通过微控制器生成不同频率的信号,作为闹钟铃声。

软件设计:1.编写微控制器的固件程序,实现读取时间、日期、温度的功能。

2.实现LED数码管的驱动程序,将时间、日期、温度的数值转换成相应的LED显示。

3.编写按钮的中断服务程序,实现按钮的响应和功能切换。

4.设计闹钟功能的逻辑,根据用户的设定时间和铃声选择,启动闹钟。

5.设计倒计时功能的逻辑,根据用户设定的倒计时时间,显示剩余时间并发出提醒。

6.设计设置功能的菜单,通过按钮操作进入不同的设置界面。

7.实现电源管理功能,可以根据用户选择使用电池或外部电源供电。

8.调试和测试系统的各项功能,确保稳定性和准确性。

多功能数字钟设计

多功能数字钟设计

输出信号: 输出信号:
scanout::扫描信号;用于顺序点亮6个数码管。(用0—5位) :扫描信号;用于顺序点亮 个数码管。(用 个数码管。( 位 led_out:七段数码管段码;高位为 。 :七段数码管段码;高位为g。 sound_out:声音输出接喇叭或峰鸣器。 :声音输出接喇叭或峰鸣器。 point_out:数码管间的点;隔两个数码管点亮一个。 :数码管间的点;隔两个数码管点亮一个。
C_mh
count en co & ≥1
C_h
C_h_encount en Nhomakorabeacocount
count en
enable reset disable clk1s
en
co
clk clr
clk clr
clk clr C_mh_en clk clr C_h_en1 C_mh_en1 Not (set)
clk clr
输入输出信号端 输入信号: 输入信号:
Scanclk:时钟信号;计时实际需要1Hz, 程序中加有 :时钟信号;计时实际需要 程序中加有1024分频,故 分频, 分频 scanclk频率约为 频率约为1024Hz。实现整点报时声音控制 频率约为 。 Set:设置键;设定闹表定时时、分;计时时、分校准;用拨码开关, 计时时、分校准;用拨码开关, :设置键;设定闹表定时时、 拨上为定时,拨下为校准。 拨上为定时,拨下为校准。 用实验箱的按键, 用实验箱的按键, Reset_in:异步复为信号;只对秒计时复位。 :异步复为信号;只对秒计时复位。 按键按下为低电平。 adj_h_in,adj_m_in:时、分校准,闹钟定时控制 按键按下为低电平。 分校准, : close:止闹信号;闹钟响后,close为1止闹;用实验箱的拨码开关。 止闹; :止闹信号;闹钟响后, 为 止闹 用实验箱的拨码开关。

多功能数字钟设计实验报告

多功能数字钟设计实验报告

多功能数字钟设计实验报告多功能数字钟设计实验报告一、引言数字钟是一种常见的时间显示设备,其简洁明了的显示方式受到了广泛的欢迎。

然而,随着科技的不断发展,人们对于数字钟的功能要求也越来越高。

本实验旨在设计一款多功能数字钟,以满足人们对于时间显示设备的更多需求。

二、设计原理1. 时间显示:数字钟应能准确地显示当前的时间,包括小时、分钟和秒钟。

为了实现精确的时间显示,我们采用了基于晶体振荡器的时钟电路,并结合数码管显示技术,使得时间能够以数字形式直观地呈现。

2. 日期显示:除了时间显示外,数字钟还应具备日期显示的功能。

我们通过添加一个实时时钟模块,可以获取当前的日期信息,并通过数码管显示出来。

3. 闹钟功能:为了提醒用户重要的时间节点,我们在数字钟中加入了闹钟功能。

用户可以设置闹钟的时间,并在到达设定时间时,数字钟会发出声音或震动来提醒用户。

4. 温湿度显示:为了更好地满足用户的需求,我们还在数字钟中添加了温湿度显示功能。

通过接入温湿度传感器,数字钟可以实时监测当前的温度和湿度,并将其显示在数码管上。

5. 其他功能:除了以上功能外,我们还可以根据用户需求进行扩展,如倒计时功能、闪烁效果等。

三、实验步骤1. 硬件设计:根据设计原理,我们需要选择合适的元器件进行电路的搭建,包括晶体振荡器、数码管、实时时钟模块、温湿度传感器等。

2. 电路连接:根据电路原理图,将各个元器件按照正确的连接方式进行连接,确保电路的正常工作。

3. 程序编写:通过编写合适的程序代码,实现数字钟的各项功能。

包括时间显示、日期显示、闹钟功能、温湿度显示等。

4. 调试测试:在完成硬件连接和程序编写后,我们需要对数字钟进行调试测试,确保各项功能的正常运行。

可以通过模拟不同的时间、设置不同的闹钟时间等来测试数字钟的稳定性和准确性。

5. 优化改进:根据实际测试结果,我们可以对数字钟进行优化改进,提高其性能和稳定性。

例如,优化显示效果、增加功能扩展等。

数字钟多功能课程设计

数字钟多功能课程设计

数字钟多功能课程设计一、课程目标知识目标:1. 学生能理解数字钟的基本原理和组成,掌握电子元器件的功能和连接方式。

2. 学生能够运用所学知识设计具有多种功能的数字钟,如闹钟、计时器等。

3. 学生了解数字钟在日常生活和科技领域的应用,拓展知识视野。

技能目标:1. 学生能够运用编程软件进行数字钟程序的编写和调试,提高编程能力。

2. 学生通过动手实践,培养电路搭建和排错能力,提高实践操作技能。

3. 学生能够运用团队协作和沟通技巧,共同完成数字钟的设计和制作。

情感态度价值观目标:1. 学生对电子技术和编程产生兴趣,激发探索精神和创新意识。

2. 学生在课程学习中,培养耐心、细心和责任心,养成良好的学习习惯。

3. 学生通过团队协作,学会分享和互助,培养合作精神和集体荣誉感。

课程性质:本课程为实践性较强的课程,结合理论知识与动手操作,注重培养学生的实际操作能力和团队协作能力。

学生特点:六年级学生具备一定的电子知识和编程基础,好奇心强,喜欢动手实践,但注意力集中时间较短,需要激发兴趣和引导。

教学要求:教师应注重理论与实践相结合,以学生为主体,引导他们自主探究和解决问题。

同时,关注学生的个体差异,给予个性化指导,确保课程目标的达成。

通过课程学习,使学生将所学知识内化为具体的学习成果,提高综合素质。

二、教学内容1. 数字钟原理:介绍数字钟的基本工作原理,包括晶振、计数器、显示器件等组成部分。

- 教材章节:第二章《数字电路基础》- 内容列举:晶振振荡原理、计数器工作原理、显示器件原理。

2. 电子元器件:讲解常用电子元器件的类型、功能及使用方法。

- 教材章节:第三章《常用电子元器件》- 内容列举:电阻、电容、二极管、三极管、集成电路等。

3. 程序设计:学习数字钟程序设计方法,包括编程语言、编程软件的使用。

- 教材章节:第五章《编程语言与程序设计》- 内容列举:C语言基础、编程软件操作、程序调试方法。

4. 电路搭建与排错:实践数字钟电路的搭建和调试,培养动手能力。

多功能数字钟电路设计

多功能数字钟电路设计

多功能数字钟电路设计
多功能数字钟电路可以用来显示时间、日期、闹钟和定时器等功能。

下面是一个简单的多功能数字钟电路设计,它基于CD4511七段译码器和CD4543 BCD-七段译码器。

1. 时间显示功能
为了显示时间,我们需要使用CD4543 BCD-七段译码器。

该译码器接收来自实时时钟(RTC)模块的BCD编码输出。

RTC模块可以用来跟踪时间和日期,它通常包括一个晶体振荡器、计数器和存储器。

BCD 编码输出通过CD4543译码器转换为七段LED显示。

2. 日期显示功能
类似于时间显示功能,日期显示也需要使用RTC模块。

RTC模块可以提供年份、月份和日期的BCD编码输出。

这些编码输出通过CD4543译码器转换为七段LED显示。

3. 闹钟功能
闹钟功能可以通过计时器和比较器实现。

我们可以使用555定时器作
为计时器,它可以生成一个固定的时间间隔。

然后,我们可以使用一个比较器来比较当前时间和闹钟时间。

如果它们匹配,闹钟就会响起。

4. 定时器功能
定时器功能可以通过555定时器来实现。

我们可以设置计时器的时间间隔,并使用CD4511七段译码器来显示剩余时间。

当定时器完成计时时,它可以触发一个报警器或执行其他操作。

总之,多功能数字钟电路可以实现时间、日期、闹钟和定时器等多种功能。

这些功能可以通过RTC模块、CD4511七段译码器、CD4543 BCD-七段译码器和555定时器等元件来实现。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

《Protel应用》课程设计任务书学生姓名:专业班级:指导教师:黄铮工作单位:信息工程学院题目:多功能数字钟的设计主要目的就是对学生进行基础课程、基本技能、基本动手能力的强化训练,提高学生的基础理论知识、基本动手能力,提高人才培养的基本素质。

一、训练内容和要求1、绘制具有一定规模、一定复杂程度的电路原理图*.sch(自选)。

可以涉及模拟、数字、高频、单片机、或者一个具有完备功能的电子电路系统。

2、绘制相应电路原理图的双面印刷版图*.pcb。

对电路原理图进行仿真,给出仿真结果(如波形*.sdf、数据)并说明是否达到设计意图。

3、基本动手能力和知识应用能力强化训练1)学习PROTEL软件;2)绘制电路的原理图和PCB版图,要求图纸绘制清晰、布线合理、符合绘图规范;4、查阅至少5篇参考文献,按《武汉理工大学课程设计工作规范》要求撰写基础强化训练报告书,全文用A4纸打印。

二、初始条件模电数电基本知识;计算机;MULTISIM 软件;PROTEL软件时间安排:6.13:理论设计6.14~6.17:安装调试仿真6.18~6.19:撰写报告6.20:答辩指导教师签名:年月日系主任(或责任教师)签名:年月日目录摘要 (1)Abstract (2)1 设计要求 (3)2.1脉冲产生电路 (3)2.1.1振荡器的设计 (3)2.1.2分频器的设计 (5)2.2记时电路 (6)2.2.1分秒记时部分设计 (7)2.2.2时记时部分设计 (8)2.2.3显示电路 (8)2.2.3校准电路 (9)2.2.3总体设计电路 (10)2.2.3仿真验证 (11)3 Protel的运用 (12)3.1创建项目 (12)3.2摆放元件 (14)3.3绘制原理图 (15)3.4更改元件属性 (16)3.5电气规则检查 (16)3.6生成网络表 (21)4 PCB(印刷电路板)制作 (20)4.1创建PCB文件 (20)4.2制作PCB (20)4.3.1制作边框 (20)4.3.2加载网络表 (20)4.3.3摆放元件 (21)4.3.4自动布线 (21)5小结与体会 (23)6 参考文献 (24)摘要Protel 99SE是当今最流行的电子电路计算机辅助设计软件之一,Protel 99SE 由两大部分组成:电路原理图设计和多层印刷电路板设计。

其中电路原理图设计由两部分组成:电路图编辑器和元件库编辑器。

这一部分的主要功能是:绘制、修改和编辑电路原理图;更新和修改电路图零件库;查看和编辑有关电路图和零件库的各种报表。

而第二部分印刷电路板设计包括印刷电路板编辑器(简称PCB 编辑器)、零件封装编辑器(简称PCBLib 编辑器)和电路板组件管理器。

本系统的主要功能是:绘制、修改和编辑电路板;更新和修改零件封装;管理电路板组件。

还有自动布线包含一个基于形状的无栅格自动布线器,用于印刷电路板的自动布线,以实我们此次实训就是利用它进行电路原理图的绘制及封装,印制电路板的设计等。

本次课程设计实现了数字时钟的仿真,使其准确计时,以数字形式显示时,分,秒的时间,并具有校验功能。

同时,还成功实现了其PCB制图。

关键词:Protel 99SE PCB 数字时钟AbstractPROTEL99 SE is the most popular electronic circuit computer aided design software, one of the two most Protel 99 SE of the circuit principle diagram design and multi-layer printed circuit board design. One of the circuit principle diagram design by two group into: a circuit diagram editor and database editor. This part of the main function is to: draft, modify and editor of the circuit principle diagram; Updated and modify diagram parts library; To view and edit the circuit diagram and parts library various reports. And the second part of printed circuit board design including printed circuit board editor (hereinafter referred to as the PCB editor), parts encapsulation editor (hereinafter referred to as PCBLib editor) and circuit board component manager. The system's main function can be: draft, modify and edit PCB; Updated and modify parts packaging; Management circuit board components. And to be automaticwiring contains a based on the shape of the grid is no automatic wiring, used in printed circuit board of automatic wiring, with real we the training is to use it for the circuit principle chart drawing and assembly, printed circuit board design, etc.Keywords: PROTEI 99 SE PCB digital clock多功能数字钟的设计1设计要求本次设计要求:(1)准确计时,以数字形式显示时,分,秒的时间。

(2)小时电路“二十四翻一”,分电路和秒电路“六十翻一”。

(3)校正时间。

2 方案设计及实现2.1时间脉冲产生电路2.1.1 振荡器的设计振荡器是数字钟的核心。

振荡器的稳定度及频率的精确度决定了数字钟计时的准确程度。

选择由集成电路定时器555与RC组成的多谐振荡器作为时间标准信号源。

图2.1.1 NE555与RC 组成的多谐振荡器参数确定:555定时器的脉冲时间是由于RC 充放电确定的。

根据三要素公式:[]1)(1)0(1)(1)(1RC t eVc Vc Vc t Vc -∞-++∞= 公式一充电过程的方程式:11)31(32RC t e Vcc Vcc Vcc Vcc --+= 充电时间为:1)21(7.02ln )21(11C R R C R R t +=+=放电过程的方程式:12)032(031RC t e Vcc Vcc --+= 公式二 放电时间为:127.02ln 221C R C R t ==总时间为:ft t t 121=+= 频率为:121121)2(43.1)2(7.011C R R C R R t f +=+==首先确定C1=0.1uf ,R2=5.1K ,需要输出频率f=1KHZ ,将充放电时间算出,确定电阻R1。

通过确定R1=4.1K.仿真验证:图2.1.2 NE555仿真结果2.1.2 分频器的设计分频器的工作目的主要有两个:第一,产生标准的秒脉冲。

第二,是提供电路工作所需要的信号,比如校时电路中用到的10HZ,就是为了校时方便而设计。

选择计数器作为分频器,计数器有很多元件可以选择,但是要合理充分的利用,选择3片中规模集成计数器74LS90可以完成上诉功能,74LS90是二—五—十进制计数器。

因为555定时器产生1KHZ的信号,第一片的Q3输出100HZ,第二片的Q3输出10HZ,第三片输出1HZ。

经过3次1/10分频后正好是1HZ,为标准的秒输入脉冲。

电路如图:图2.1.3 分频电路2.2 时分秒计数器的设计2.2.1 分、秒计数部分设计分和秒一样,都采用60进制计数,本设计选用74LS90作为计数器。

设计电路图如下图。

当分(秒)计数部分的个位接受秒计数部分的信号(秒计数接受的信号为振荡器经分频后输出的1HZ的标准脉冲),计数满60后向时计数部分的十位给出一个进位信号。

分(秒)十位计数部分接受个位的进位信号并进行计数,计满6就想前一级给出进位信号。

当十位和个位计满60个数后计数器清零。

计数规律是从00——59——00。

图2.2.1 分、秒计数部分电路设计2.2.2 时计数部分设计时间计数设计为24进制计数,有多种计数器可供选择,本设计仍选74LS90作为计数器。

设计电路图下图。

当时计数部分的个位接受分计数部分的信号,计数满10后向时计数部分的十位给出一个进位信号。

时十位计数部分接受个位的进位信号并进行计数,当十位和个位计满24个数后计数器清零。

计数规律是从00——23——00。

图2.2.2 时计数部分电路2.3显示电路的设计显示部分有数码管和BCD数码管译码器74LS48组成。

根据74LS48的特性设计如下图所示电路。

Q0-Q3接受计数器输出的数据,按照数码管显示规律译码出可直接输入数码管的数据,将时间实时显示出来。

图2.3 数码管显示电路2.4校时电路的设计当数字钟接通电源或者计时出现错误时,需要校正时间,校时是数字钟应具备的基本功能。

一般的电子手表都具有时、分、秒等校时功能。

为了电路简单,我们只对时和分进行校时。

校时电路要求在小时校正时不影响分和秒的正常计数,在分校时时不影响秒和小时的计数。

时校时电路和分校时电路都是一致的,校时脉冲信号为10HZ脉冲,这样速度正好适中,适合校时。

图2.4.1 校时电路但是,由与非门构成的组合逻辑电路,在开关S闭合和打开的时候容易发生抖动,从而使校时出现错误,对于这中情况要采取去抖的措施,接上电容就可以,但是为了让去抖更有效,加上了由与非门组成的RS触发器。

由此可以保证电路不会有抖动现象。

改进图如下图:图2.4.2 改进的校时电路2.5 总体设计电路图74LS90D图2.5.1 总体电路图2.6仿真验证1、计时中的电路,即将清零。

J1Key = A图2.6.1 计时中的电路2.开关断开,电路以10HZ的频率进行校准Key = A图2.6.2 校准中的电路3 Protel的运用3.1创建项目点击File创建一个新的项目,在Design Storage Type选项中选择默认值,在Database file name后添加项目的名称“MyDsign.ddb”并保存,进入画面如图所示:图3.1.1 创建项目窗口图再点击File菜单下的new,出现画面如图所示:图3.1.2 创建项目选择图再选择Schematic Document来创建一个电原理图设计文件Sheet1.sch,双击进入原理图设计主页面。

相关文档
最新文档