Peripheral Aware Dynamic Voltage and Frequency Scaling for the Stargate Platform Abstract

合集下载

DYNAMIC VOLTAGE FREQUENCY SCALING (DVFS) FOR MICROPROCESSORS POWER AND ENERGY REDUCTION

DYNAMIC VOLTAGE FREQUENCY SCALING (DVFS) FOR MICROPROCESSORS POWER AND ENERGY REDUCTION

DYNAMIC VOLTAGE FREQUENCY SCALING (DVFS) FORMICROPROCESSORS POWER AND ENERGY REDUCTIONDiary R. Suleiman Muhammed A. Ibrahim Ibrahim I. Hamarash e-mail: diariy@ e-mail: ibrahimm@.tr e-mail: ibrahim_hamarash@ University of Salahaddin-Hawler, College of Engineering, Department of Electrical Engineering,Erbil, IraqKey words: Power and energy reduction, Dynamic voltage frequency scaling,ABSTRACTThis paper presents a methodology for power and energy reduction in general purpose microprocessors, which is known as dynamic voltage frequency scaling (DVFS). The DVFS technique can be considered as an effective mechanism for reducing processor power and energy. In the last decade a lot of works have been done during the hardware and software implementation. In this paper a proposed control loopof DVFS technique has been introduced. SPICE simulation program results confirm the theory.I. INTRODUCTIONIn recent years the processors speed reaches Gigahertz [1], so the power dissipation increases rapidly in a level of the order of ten of Watts and it becomes an important consideration in the design of microprocessors, especially battery-powered portable systems, and emerges as a key technology in the VLSI system design [2].Processors consume a large portion of energy around 50%of the overall consumed energy of computer systems [3]. Today most digital circuits are constructed using CMOS circuits [4], especially processors, therefore the analysis of power dissipation in CMOS circuits is essential to find out the relation between power, supply voltage, and clock frequency. The power dissipation for CMOS circuits is the summation of dynamic power, static power and short circuit power. These components of power dissipation is as shown in Figure 1 are because of [5],P dynamic which is due to charging and discharging capacitors (1).P static which is due to reverse biased diodes (2).P shortcircuit which is due to switching direct path betweenV dd-GND (3).Mathematically,P cmos = P dynamic+ P static + P shortcircuit (1) The dynamic power is the main portion of the CMOS power dissipation [5]. It can be expressed as: P dynmaicα C L V dd2 f clk (2)Where C L is the collective switching capacitance, V dd is the supply voltage, and f clk is the clock frequency.Figure 1. Power dissipation for a simple CMOS inverter The high power dissipation of a processor has at least the following disadvantages:•High power systems tend to run hot, that causes the processor and other system components tofail. The failure rate of a processor are doublesevery 10o C increase [5].•It complicates the cooling solutions of integrated circuits for heat removal, and thus increase theproduction cost. Intel estimates that more than1$/W per processor chip will be added once theprocessor power dissipation exceeds 35-40 W[6].•It increases the operation costs; such as the electricity bills for air conditioning of thecomputer and system rooms. 8% of USelectricity in 1998 was attributed to the internet,growing to about 30% by 2020 [7].•It shortens the battery or UPS life. The processor power doubles every four years, consequentlythe average battery or UPS life will be shortened[8].•It endangers the human body. Current high performance processors consume around 70-100W [7].The major processor manufactures (Intel) has announced that the processor power dissipation doubles every four years [7], therefore dynamic voltage frequency scaling technique, by lowering the supply voltage, is effective in reducing power dissipation. Lowering the supply voltage restricts the operating frequency accordingly because,(f clk α (V dd - V t )2/ V dd ) (3)Where V t is the CMOS threshold voltage.Meaning that changes in frequency are accompanied by appropriate adjustment in voltage.The energy consumption of a program can be reduced by: reducing the number of operation performed, reducing the switching capacitance of each operation, or by reducing the voltage at which these operations are performed [9].There has been a significant amount of research relating to hardware support for dynamic voltage frequency scaling. T. Burd presented a voltage scaling hardware loop [2]. Tiware et. al. presented a hardware technique for shutting down unused hardware modules [6]. Throughout this paper a new dynamic voltage frequency scaling (DVFS) control loop is presented which has a high performance due to its accuracy in progress.II. ANALYSIS OF DVFS TECHNIQUEDynamic voltage frequency scaling (DVFS) is accepted as a technique to reduce power and energy consumption of microprocessors [7]. Lowering only the operating frequency f clk can reduce the power consumption but the energy consumption remains the same because the computation needs more time to finish. Lowering the supply voltage V dd can reduce a significant amount of energy because of the quadratic relation between power and V dd as given in Equation 2. Lowering the supply voltage and operating frequency reduces the power and energy consumption further. Figure 2 shows the power saving achievable by using variable V dd .Figure 2. Power saving achievable byUsing variable VddWhen the clock frequency f clk is reduced by half, this lowers the processor’s power consumption and still allows task to complete by deadline, the energy consumption remains the same. Reducing the voltage level V dd by half reduces the power level further without any corresponding increase in execution time. As a result the energy consumption is reduced significantly, but the appropriate performance is remained [10]. This is shown in Figure 3:Figure 3. Energy consumption vs. power consumption fora task, which is ready at 0 and complete at T, withmaximum clock frequency f clkThere are three key components for implementing DVFS technique in processors [7,10]:1. An operating system which intelligently vary the processor speed.2. A control loop which generates the voltage required for the desired speed.3. A microprocessor which operates over a range of voltages.The relationship between these three components is shown in Figure 4.Figure 4. DVFS required componentsIII. WORKLOAD PREDICTIONTo perform this multi-speed functionality of a processorthe modern operating system will intelligently vary theprocessor speed by predict and estimate the futureworkload of the processor and convert it to a digital word(f des) and save it into a register, whose value is then usedby the control loop to adjust the processor clockfrequency (f clk) with the voltage level (V dd)[11].Figure 5, shows a typical workload pattern with asequence of tasks and deadlines between the tasks. Byscaling down the voltage, each task is extended into theidle time after it, as shown in Figure 6:Figure 5. A typical workload pattern with tasks and idletime between tasks.Figure 6. A typical workload pattern with DVFStechniqueA processor usually goes to sleep as a result of certainspecial instruction, and then it is woken up by certaininterrupts, this cause producing idle intervals between thetasks [11]. Therefore, work load of the processor usuallyconsists of sequence of tasks and idles between tasks. Byscaling down the voltage and frequency each task isextended into the idle time after it. As long as the tasks donot overlap, the dynamic voltage frequency scaling(DVFS) technique is guaranteed to be correct.Before design the DVFS technique it is essential to modelthe workload. The concept of an event makes partitioningthe workload to be possible. Two parameters, α and β asshown in figure 7, are used to describe an event; both inthe unit of time, α measures the length of an event and βmeasures the length of an event plus idle time before thenext event starts. It follows that utilization can bedetermined by dividing α by β. For example if utilizationis 50 percent, it means that this particular event has thepotential to be scaled down by a factor of two [11,12].Figure 7: Modelling the workload with DVFS (ideal case)IV. THE PROPOSED DVFS CONTROL LOOPA control loop, shown in Figure 8 is proposed in thispaper to carry out the appropriate voltage via thefrequency.The operation of this control loop is depending on thedifference between the clock frequency f clk from VCO andf des from the operating system predictor, where the outputof the VCO, f clk, clocks a counter which is reset at 1MHzintervals. This provides a digital word f meas, and it saved ina register. This value is subtracted from the desired clockfrequency f des (which is predicted by the operatingsystem as a digital word and saved in a register) togenerate an error frequency value, f err, and is saved inanother register. This register has to have an additional bitthan the other registers to indicate the sign. This errorword will be converted to voltage levels via a digitalcircuit. The voltage levels are converted to a DC voltageby digital to analog converter (DAC) to be used by acomparator to generate a PWM pulses and then to drivethe DC-DC converter.Figure 8. A proposed DVFS control loopThe feed back loop sets V dd to make f err zero The DC-DCconverter converts the output DC voltage to a leveldepending on the incoming pulses from PWM in thecontrol loop. The VCO(ring oscillator) converts theoutput of the DC-DC converter to a clock frequency. TheDC-DC converter output with the generated clockfrequency is fed to the processor.The proposed DVFS control loop has been simulatedUsing Pspice simulator program. The followingwaveforms are obtained.For f clk=500 MHz and f des=300MHz, the input and outputvoltages of PWM, DC-DC converter, and VCO signalsare shown in the Figure 9,10, and 11respectively.Also, for f clk=300 MHz and f des=500MHz, the input andoutput voltages of PWM, DC-DC converter, and VCOsignals are shown in the Figure 12,13, and 14respectively.Figure 9. The PWM input (V1), output (V2), andsawtooth signal (V3) for f clk=500 MHz and f des=300MHz.Figure 10. The DC-DC converter output voltage (V4) forf clk=500 MHz and f des=300MHzFigure 11. The VCO output signal for f clk=500 MHz andf des=300MHzFigure 12. The PWM input (V1), output (V2), andsawtooth signal (V3) for f clk=300 MHz and f des=500MHzFigure 13. The DC-DC converter output voltage (V4) forf clk=300 MHz and f des=500MHzFigure 14. The VCO output signal for f clk=300 MHz andf des=500MHV. CONCLUSIONThe proposed dynamic voltage frequency scaling (DVFS) loop, which is introduced throughout this work, is to vary or set the supply voltage V dd and operating frequency f clk according to the desired frequency f des which is predicted via the operating system and speed control circuit.The DVFS proposed loop has a high performance due to accuracy in progress, and can significantly improve processor energy efficiency especially for general purpose microprocessors, multimedia interface systems, and battery or UPS powered electronic devices.The presented technique can decreases the processors average energy consumption at runtime depending on the applications and the limit of the supply voltage V dd. Therefore, this proposed DVFS technique can be considered as a critical constraint for the current and future processor’s performance.REFERENCES1. A. Azevedo, I. Issenin, R. Cornea, R. Gupta, N. Dutt,A. Veidenbaum, A. Nicolau, Profile-based DynamicVoltage Scheduling using Program Checkpoints, In Proceedings of Design, Automation and Test in Europe Conference and Exhibition (DATE), March 2002.2.T. D. Bord, Energy-Efficient Processor SystemDesign, Ph. D. Dissertation, University of California,Berkeley, USA, 2001.3.T. Pering, T. Burd, and R. Brodersen, DynamicVoltage Scaling and the Design of a Low-Power Microprocessor System, University of California Berkeley, Electronics Research Laboratory./~pering/lpsw4.I. Hong, D. Kirovski, G. Qu, M. Potkonjak, and M.B. Srivastava, Power Optimization of Variable-Voltage Core-Based Systems, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol.18, No.12, Pages 1702-1714, 1999.5.O. Ergin, Circuit Techniques for Power-AwareMicroprocessors, Master Thesis, The State Universityof New York, USA, 2003.6.V. Tiwari, D. Singh, S. Rajgopal, G. Mehta, R. Patel,and F. Baez, Reducing Power in High-performance Microprocessors, In Proceedings of the 35th Conference on Design Automation, ACM, USA, June1998.7. C. H. Hsu, Compiler-Directed Dynamic Voltage andFrequency Scaling for CPU Power and Energy Reduction, Ph. D. Dissertation, the State University of New Jersey, USA, 2003.8. A. P. Chandrakasan, Low-Power CMOS digitalDesign, IEEE Journal of Solid-State Circuits, Vol.27,No.4, Pages 473-484, April 1992.9.R. Gonzalez and M. Horowitz, Energy Dissipation InGeneral Purpose Microprocessors, IEEE Journal of Solid-State Circuits, Vol.31, No.9, pages 1277-1284,1996. 10.N. Tuaycharoen, RTOS-Based Dynamic VoltageScaling, Master Thesis University of Maryland, 2003.11.K. Choi, K. Dantu, W. Chung Cheng, and M. PedramFrame-Based Dynamic Voltage and Frequency Scaling for a MPEG Decoder, from Boston-University./pubs/software.html#system-player 12.H. So, and A. Woo, A Simple Energy Saving Schemeon PDA’s Using Hardware Scheduled DVS, University of California, Berkeley, Department of Computer Science./~awoo/cs252/report.html。

动态电压恢复器的原理及控制综述

动态电压恢复器的原理及控制综述

动态电压恢复器的原理及控制综述KONG Shuhong, YIN Zhongdong,SHANRenzhongNorth China Electric Power UniversityBeijing , Chinae-mail: kshsh043@SHANG WeidongKaifeng Power Supply CompanyHenan,China摘要随着自动化技术信息化的发展,动态电压问题日益凸显。

动态电压恢复器(DVR)是现代配电系统中重要的缓解电压暂降的电力设备。

DVR的工作原理、结构和控制方法在许多DVR相关的学术会议和期刊上被引用和比较。

同时对未来DVR在电力系统中的应用和一些问题提出了建议。

关键词:电压暂降;动态电压恢复器(DVR);控制技术;电能质量;优化的补偿;储能I引言最近几年,社会对于高功率质量(PQ)和电压稳定性的要求显著增加。

PQ 特性包括频率变化,电压变化,电压波动,不平衡三相电压,电压突变和谐波失真。

对于敏感的设备的一个严重威胁是持续10至100毫秒的电压暂降(60%至90%的额定电压的下降)。

电压暂降是因为大功率电机并网或切换操作时由动物接触,暴风雨,设备故障,绝缘故障,短路冲击电流较大等因素引起的。

这将导致巨大的财产和经济损失。

众所周知的保护关键负载不受干扰的定制功率器件有:STA TCOM分布(静止同步补偿器DSTATCOM),动态电压恢复器(DVR)和统一电能质量调节器(UPQC)。

DVR主要用于解决电压暂降问题。

1996年8月,Westinghouse电气公司在加利福尼亚州南部的Anderson在12.47KV变电站安装了世界上第一台DVR。

它主要为自动生产的工厂提供保护。

随后,ABB,西门子等其他公司也开发了自己的的产品来保证敏感负载的电压质量。

所以,在DVR的结构,参数检测,闭锁,补偿和控制技术等方面进行了大量的电力系统的研究。

在这片论文里,将对DVR的控制技术和结构进行调查和比较。

bios怎么设置pci

bios怎么设置pci

bios怎么设置pciPCI(Peripheral Component Interconnect)是一种由英特尔(Intel)公司1991年推出的用于定义局部总线的标准。

那么大家知道bios怎么设置pci吗?今天店铺与大家分享下bios设置pci的具体操作步骤,有需要的朋友不妨了解下。

bios设置pci方法此部分描述了对PCI总线系统和PNP特性的配置。

PCI,即外围元器件连接,是一个允许I/O设备在与其特别部件通信时的运行时速度可以接近CPU自身速度的系统。

此部分将涉及一些专用技术术语,我们强烈建议非专业用户不要对此部分的设置进行修改。

Plug and Play Aware O/S (即插即用操作系统)当设定为Yes, BIOS 将只会安装用于系统引导的即插即用外接卡(VGA,IDE, SCSI)。

剩余的外接卡的安装将由即插Windows? 98,2000 或者ME。

如果设置No, BIOS 会安装所有的即插即用外接卡。

如果您的操作系统是即插即用的,选择Yes。

Clear NVRAM (清除NVRAM数据)ESCD(扩展系统配置数据),NVRAM(非挥发性随机存取存储器)是BIOS中以字符串格式为PNP或非PNP设备存储资源信息。

当设定为YES时,系统重启后将ESCD NVRAM复位并将设置重新设置为NO。

PCI Latency Timer (PCI延迟时钟)此项控制每个PCI设备可以掌控总线多长时间,直到被另一个接管。

当设置为较高的值时,每个PCI设备可以有更长的时间处理数据传输,如此可以增加有效的PCI带宽。

为了获取更好的PCI效能,您可将此项设为较高的值。

可选的设置值范围是从32到248,以32为单位递增。

PCI IDE BusMaster (PCI IDE总线控制)此项设定为Enabled可以设定PCI总线的IDE控制器有总线控制能力。

设定值为:Disabled, Enabled。

Primary Graphics Adaptor (主图形适配器)此项用于指定哪片VGA卡是您的主图形适配卡。

Dynamic Voltage (IR) Drop Analysis and Design Closure

Dynamic Voltage (IR) Drop Analysis and Design Closure

Dynamic Voltage(IR)Drop Analysis and Design Closure:Issues andChallengesNithin S K,Gowrysankar Shanmugam,Sreeram ChandrasekarTexas Instruments IndiaE-mail:{nithin,gowrysankar,sreeram}@Abstract—Dynamic voltage(IR)drop,unlike the static voltage drop depends on the switching activity of the de-sign,and hence it is vector dependent.In this paper we have highlighted the pitfalls in the common design closure methodology that addresses static IR drop well,but of-ten fails to bound the impact of dynamic voltage drops robustly.Factors that can affect the accuracy of dynamic IR analysis and the related metrics for design closure are discussed.A structured approach to planning the power distribution and grid for power managed designs is then presented,with an emphasis to cover realistic application scenarios,and how it can be done early in the design cy-cle.Care-about and solutions to avoid andfix the Dynamic voltage drop issues are also presented.Results are from in-dustrial designs in45nm process are presented related to the said topics.Keywords—Dynamic voltage Drop,DvD,Dynamic IR, Peak power,Power switch,VCD,Power gate,SDF.I.IntroductionDesigning an optimal power grid which is robust across multiple operating scenarios of a chip continues to be a ma-jor challenge.[1][2][3]The problem has magnified with tech-nology shrinking allowing more performance to be packed in a smaller area,from one node to another[4].The power distribution on a chip needs to ensure circuit robustness catering to not only to the average power/current re-quirements,but also needs to ensure timing or reliability is not affected due to Dynamic IR drop,caused by localized power demand and switching patterns.[5]Further,amongst today’s devices power management techniques like power gating and switch power supplies are the norms[6][7][8].In the case of switched power sup-plies,typically,power switch cells are uniformly distributed across the standard cell logic(logic gates)area of thefloor-plan.There may be further sub-divisions in the switched power grid in the form of power domains,depending on the granularity of power gating[10].These power switches add an additional dimension to the power distribution problem as they often limit the response of the power grid to dy-namic power or current needs.While the power distribu-tion robustness can be improved easily by increasing the number of power switches,it has an impact on the off-mode leakage(Iddq)and hence battery life in handheld applications.So clearly,the requirement is also to mini-mize the number of switches used as well as minimize the signal routing resources utilized on the power grid.This paper discusses the issues related to design closure and signoff(timing,IR Drop,EM,reliability etc.)com-prehending Dynamic IR drop effects realistically.On one hand,the factors that introduce pessimism in Dynamic voltage drop analysis have to be removed,while on the other we must ensure the methodology ensures robust cov-erage of various silicon conditions and design operating scenarios.We then discuss power distribution and power grid planning methodology,and highlight the various as-pects that need to be taken care of,from the early stages of design implementation.We also demonstrate some of the systematic power grid enhancements like robust au-tomated switch placement and switched supply resistance minimization through DRC-aware power metalfill.All the discussions and results are based on production im-plementations of low power application processors for mo-bile and hand-held devices.The designs include high fre-quency CPU cores,multimedia subsystems(like imaging and video).The numbers quoted are from the analysis and/or simulation.The structure of the paper is as follows.In section II, the commonly followed Dynamic IR methodology and its pitfalls are highlighted with design results.In section III the issues related to analysis accuracy and signoffmethod-ology are discussed.Section IV then elaborates how we went about planning the power distribution and the tech-niques used to ensure silicon robustness in the tolerant to Dynamic IR drop.mon Design Closure Methodology and Its PitfallsA.Overview Of Static Vs Dynamic IR DropStatic IR drop is average voltage drop for the de-sign.[12][13],whereas Dynamic IR drop depends on the switching activity of the logic[11],hence is vector depen-dent.Dynamic IR drop depends on the switching time of the logic,and is less dependent on the a clock period.This nature is illustrated in Fig1.The Average current depends totally on the time period,where as the dynamic IR drop depends on the instantanious current which is higher while the cell is switching.Static IR drop was good for signoffanalysis in older technology nodes where sufficient natural decoupling ca-pacitance from the power network and non-switching logic were available.Where as Dynamic IR drop Evaluates the IR drop caused when large amounts of circuitry switch si-multaneously,causing peak current demand[1][14].This current demand could be highly localized and could be brief within a single clock cycle(a few hundred ps),andFig.1.Average Current Over A Windowcould result in an IR drop that causes additional setup or hold-time violations.Typically,high IR drop impact on clock networks causes hold-time violations,while IR drop on data path signal nets causes setup-time violations.B.Deficiencies Found By Dynamic Analysis On A“Good”Power GridA typical power grid and power switches(count and dis-tribution)are designed for average power or in other words they are designed to meet static IR drop targets and not for Dynamic IR drop.In the initial stage of the design, the grid robustness is checked only with the Static IR drop result.This is because of late availability of use case scenar-ios(Voltage change dump(VCD)files).For the example, the switch and metal grid densities in the notches region can satisfy the static IR drop criteria,because the average power density in this region is not significant.But when a particular application is run,notch area could have higher power density because of localized switching in that area and the switches combined with metal grid(Switched supply is distributed to cells by lower layers like MET2and MET3)may not be enough to sup-port the current density in the notch area.Because of which there can be very high dynamic IR drop.Refer to Notch area as shown in Fig.2,Here due to less number of switch cells combined with not so robust power grid is the main cause of high dynamic IR drop.As described by the figure,Switch Voltage drop and MET3voltage drop are the dominant factors in the overall voltage drop.A similar analogy on the power density can be extended to larger region.Refer to Fig.3,With the original MET3grid,static IR drops was within the budget.However,to meet the dy-namic IR drop goals,an increase of the MET3(MET3Grid is Vertical)grid density by3times,was needed.The drop across the MET3and related vias reduced by50%,after the improvement.This is another example of a robustness issue which was missed in static analysis.As discussed earlier,the number of power switches iscal-Fig.2.Effect Of Low Switch Density InNotchFig.3.Effect Of MET3Grid On Dyanmic IRdropFig.4.Closer View Of Dynamic IR Dropculated based on the static IR drop requirement.For our design,with the switch density that is calculated as per average power,and with“calculated”optimal cell density and optimal decap density,our expectation is to have agood dynamic voltage drop.Static IR drop and vectorless dynamic results runs were within the budgets.Vectorless dynamic IR drop was70mV,but vector based dynamic IR drop was153mV,which is beyond the budget.The main cause for such high voltage drop was localized switching. The high dynamic IR drop region has very high power den-sity and hence this region has high current requirement, which is not fulfilled by the existing power switch density in that region,and as a result there is high Dynamic voltage drop.The High IR drop region has reasonably good decap density and has low utilization as shown in the Fig.4.This indicates that the affected region is not really a case of a poorly designed power grid,but more of an exceptionally high power density,due to the design architecture com-bined with the placement of cells.In any case,the power grid has to eventually be able to support the design’s power demands in that region,which requires a different approach as will be discussed later.III.Accuracy Of Analysisprehending Delays In Gate Simulation There are several factors that affect the accuracy of the dynamic IR analysis,and how closely it represents the na-ture of actual Silicon behavior.One of the key requisites is to generate a realistic VCD(afile format that captures the switching information)which accounts for the real cell and interconnect delays(typically done by annotating an SDF in the gate simulation).Such a simulation captures the re-alistic spread of switching activity in the design.The other common approach is to use a VCD from a zero-delay simu-lation,along with the timing windows from STA analysis, which often results in non-realistic Dynamic IR drop that can be pessimistic or optimistic.Refer to Fig.5(SDF An-notated VCD)and Fig.6(Without SDF annotated VCD). It shows a drop close to175mV with a VCD generated with SDF,versus Vs141mV from analysis using a VCD without SDF annotation.In this case,175mV is the more realistic result for the given application.Also,the analysis needs to be done for more than1cycle because this would expose more weak spots and allow sufficient pre-simulation time for the decap effects to be comprehended more accu-rately.prehending Realistic Glitch Propagation Glitches arising out of combinational logic switching can cause a large amount of instantaneous switching.It is im-portant to factor the effect of such switching,with con-sideration to which of these glitches would die down or propagate,considering cell and interconnect delays under realistic conditions.If the glitches are very narrow,the chances of them gettingfiltered out by the inertial delay of the path stages(cell+interconnect)is very high.We filtered out glitches much smaller than the stage delay,and let those comparable to(or larger than)the stage delay propagate.The glitches in between were kept as’x’.We found that the pessimism in the dynamic voltage drop re-duced by20%by using thisapproach.Fig.5.Dynamic IR Drop:SDF AnnotatedVCDFig.6.Dynamic IR Drop:Without SDF Annotated VCDC.Choice Of Technology Specs For SignoffOften,worst case conditions are chosen for timing,elec-trical and reliability checks to ensure robust silicon opera-tion.However,it is also critical to strike a balance between picking bounding conditions and being overly pessimistic. In an effort to get results closer to realistic silicon condi-tions,and to detect potentially silicon fails,we selectively evaluated designs under both worst case and non-worst-case conditions.For example if we compare the effect of the worst via resistance spec against the nominal via specs, the drop across vias alone reduce by50%,as show in Fig.7. With Via resistance and Metal resistances typically beingFig.7.Via Drop:Worst corner Vs Nom Corner uncorrelated,it is a pessimistic assumption to consider that all vias and metal layers would be in the worst case corner. With sufficient characterization data,we can apply a less pessimistic analysis condition for dynamic IR analysis. D.Voltage Annotated Timing ClosureTiming impact has been analyzed with dynamic voltage annotation in the STA tool.The voltage annotated timing violations on one particular design before anyfixes can be seen in Table I.It was ensured that the frequency goals were met byfixing these violations,either addressing the voltage drop itself,or at least by improving timing slack on those paths.Design Worst Slack(ps)Failing End PointsIP1-251370IP2-34795IP3-308IP4-372TABLE IDynamic IR Drop Annotated TimingIV.Methodology For power Grid Design For Ro-bust Dynamic IRIn this section,the care-about in planning the power dis-tribution(grid,switches)for power managed designs are discussed.Knowledge of the design operating scenarios and architecture play a key role in ensuring the robust-ness across scenarios.Some techniques to improve power grid robustness through simple physical implementation schemes such as power metalfill and decap planning are also touched upon.A.Choosing The Right Average PowerThe choice of the average power value for which the power distribution is designed for is critical.It is common practice to design for the average power seen in theusecasethat consumes the highest power.However,there can be a sub-window within the application window,for which the average power is much higher than that of the entire use case time.It is obvious that the grid has to support thisFig.8.Average Power Vs Peak Average Powerhigher average power during the high-power sub-window, else the device would not function as per design.An exam-ple of this is shown in Fig.8,where the application average power is about214mW where as the average power over a sub-window is367mW.This sub window extends over a few hundred clock cycles.In this case,the grid has to support 367mW of average power and not214mW.Hence,choos-ing the right average power for designing the grid would help the design scale up to not just dynamic voltage drop issues,but even to sustain the average cases more robustly.B.Early Dynamic IR AnalysisOne of the difficulties in evaluating the dynamic IR im-pact on SOCs or complex designs(IPs)is to get vectors for sufficient scenarios,and to get them in time to detect issues before the design tapes out.Our Early Analysisflow addresses this issue.In thisflow,the switching activity of a sub IP is integrated at the top level,and switching activity at the top level is created,for use in dynamic IR analysis. Using thisflow,we were able to identify certain architec-tural hot-spots for dynamic IR drop,like cases of crossbar interconnects interacting with shared memories having very high power density.The results obtained from thisflowFig.9.Dynamic IR Drop Profile Using Early AnalysisFlowFig.10.Dynamic IR Drop Profile From Full Subsystem Simulationwere found to correlate well with the analysis done with the complete simulation done at the top level of the sub-system itself.Both cases are shown in Fig.9and Fig.10,where we can notice both the magnitude and the profile of the dynamic IR results match closely (The first map is based on the sub-design switching ported to the top level while the second map is with switching information from full design simulation ).This technique can be extended to SOC’s,to do vector based dynamic IR drop analysis accurately.C.Power Switch Density And PlacementFor designs with power switches,in most cases,high voltage drop is because of lesser number of switches than needed for localized power density in certain regions.From common power analysis methods,it is possible to getaFig.11.Region Based Switch Densitylist of IPs/Modules which consume more power than the rest of the design.This means that these IPs/Modules need higher current.Which implies that there is a need for more switches in these modules.Typically standard cells of sub IPs/Modules are placed within close proximity.Hence planning a higher switch density in this area will make the area better in terms of dynamic IR drop.Covering more scenarios (More VCD)will excite different parts of design and hence will show any weakness in the power network.Refer to Fig.11for region based switch density.Covering more scenario will also show the area where the voltage drop is low (cool area ),the regions which do not have high IR drop region.In the cool area ,switch density can be re-duced by removing some of the switches.This will help in reducing the leakage power of the design in standby mode.D.Switch Placement In Floorplan Channels /Boundaries Channels (between macro cells)and floorplan edges or boundaries are often weak spots in a design’s power dis-tribution scheme.It was highlighted earlier how a channel with power switches placed a bit far from the high switch-ing activity logic gave rise to a dynamic IR hot spot (Refer to Fig.2).To address such issues,we have implemented an automated bounding scheme where all the standard cell logic area in the floorplan is surrounded by power switches at the boundaries.Refer to Fig.12.The switch cell bound-ing is done over the corners of channel,making it more robust to voltage drop variations.ing Design Knowledge To Reduce Dynamic IR In one of our design,the architecture of the design was such that,a group of registers banks switching simultane-ously,and these banks would switch in every cycle.Also these groups of register banks and associated cells are phys-ically placed close to each another.The Clock to some of the flops were skewed so as to stagger the switching which will reduce the switching activity (These timing paths had high positive slacks).This will reduce the peak current requirement and hence reduce the peak drop.Refer toFig.12.Switch Density InNotchesFig.13.Staggering Switching Activity To Reduce Dynamic IR DropFig.13,the switching activity last for around 200ps,where as the clock period is higher,Thus we have used the design knowledge to reduce switching activity.F.Power/Ground Metal Fill Experiment%Drop %ImprovementWithout Metal Fill 9.3-Metal Fill on 2Layers 8.40.9Metal Fill on All layers7.32TABLE IIUsing Power/Ground Metal Fill to improve power gridrobustnessAnother technique we followed was Power/Ground Metal fill .After the design is frozen,final step is to add metal fill in the areas where the free metal tracks are available.These inserted metal straps are connected power or ground.Refer toFig.14.By doing so,the power and ground grid becomes stronger and hence would help in reducing voltage drop.Refer to Table.1.We have seen that as much as 0.9%(0.9%of supply voltage)improvement in voltage drop whenFig.14.Metal density without Vs with Metal fillthe metal fill is done on 2layers and 2%improvement when metal fill was done on all layers.G.Other Methods To Reduce Dynamic IR Drop Load and Slew violation will not only cause crosstalk but also cause high power.This is because,there will be high current requirement for higher loads/slews.Hence fixing load/slew violation will help in reducing dynamic voltage drop.Another method to reduce Dynamic IR drop is haloing of Clock tree cells,and adding decaps near these cells.This will help in reducing the voltage drop in clock tree cells due to switching.V.ConclusionWe have highlighted the common issues faced in the de-sign closure of power managed designs .Key accuracy and signoffmethodology issues were addressed and im-provements made in replicating actual device operating conditions in analysis.A comprehensive set of techniques adopted in our designs to create a robust power grid,and to ensure device timing robustness considering dynamic volt-age drop,was presented.This covered the choice of the correct power values,power switch planning,using design knowledge and power routing techniques.A.Future WorkThe main area of our ongoing work is with respect to comprehending the impact of dynamic IR on timing behav-ior of the device-path level,and timing yield.Another area of study is on the coverage of multiple scenarios with-out having to simulate each of them(which is impossible, and hence vector based analysis is not complete today). Further,dynamic IR impact on test modes are presently being studied.Efforts are on to correlate analysis and sili-con measurements to establish a close link between analysis and real device operation.References[1]Shen Lin and Norman Chang,“Challenges in power-ground in-tegrity”,International Conference on Computer Aided Design, Pages:651-654Year of Publication:2001[2]S.Chowdhury,“Optimum design of reliable IC power net-works having general graph topologies”,Proceedings of the26th ACM/IEEE Design Automation Conference Pages:787-790 Year of Publication:1989[3]Yu Zhong and Wong,M.D.F.,“Thermal-Aware IR Drop Analysisin Large Power Grid”,Quality Electronic Design,2008.ISQED 2008.9th International Symposium[4]The international technology roadmap for semiconductors2007,[5]Vishweshwara,R.Venkatraman,R.Udayakumar,H.Arvind,N.V.,“An Approach to Measure the Performance Impact of Dynamic Voltage Fluctuations Using Static Timing Analysis”, VLSI Design,200922nd International Conference on Publica-tion Date:5-9Jan.2009[6]S.Mutoh,S.Shigematsu,Y.Gotoh,and S.Konaka,“Designmethod of MTCMOS power switch for low-voltage high-speed LSIs”,IEEE AsiaSouth Pacific Design Automation Conf.,1999 [7]H.O.Kim and Y.Shin,“Semicustom design methodology ofpower gated circuits for low leakage applications,”IEEE Trans.Circuits Syst.II,Exp.Briefs,vol.54,no.6,page(s):512-516, Jun.2007.[8]Idgunji,S.,“Case study of a low power MTCMOS based ARM926SoC:Design,analysis and test challenges”,Test Conference, 2007.ITC2007.IEEE International,Publication Date:21-26 Oct.2007[9]Shih-Hung Weng,Yu-Min Kuo,“Timing Analysis Considering IRDrop Waveforms in Power Gating Designs”,Computer Design, 2008.ICCD2008.IEEE International Conference on12-15Oct.2008Page(s):532-537[10]Hattori,T.Irita,T.Ito,M.,“Hierarchical power distribution andpower management scheme for a single chip mobile processor”, Design Automation Conference,200643rd ACM/IEEE[11]Karim Arabi,Resve Saleh and Xiongfei Meng,“Power SupplyNoise in SoCs:Metrics,Management,and Measurement”,Design &Test of Computers,IEEE Publication Date:May-June2007 Volume:24,Issue:3On page(s):236-244[12]Chen,H.H.and Ling, D.D.,“Power Supply Noise AnalysisMethodology For Deep-submicron Vlsi Chip Design”,Design Automation Conference,1997.Proceedings of the34th June9-13,1997Page(s):638-643[13]Bhooshan,Rishi and Rao,Bindu P,“Optimum IR drop modelsfor estimation of metal resource requirements for power distribu-tion network”,Very Large Scale Integration,2007.VLSI-SoC 2007.IFIP International Conference on Publication Date:15-17 Oct.2007On page(s):292-295[14]Thomas D.Burd and Robert W.Brodersen,“Design issuesfor dynamic voltage scaling”,international Symposium on Low Power Electronics and Design,Proceedings of the2000interna-tional symposium on Low power electronics and design Pages:9 -14Year of Publication:2000。

DLT 1033-2006 电力行业词汇 英汉对照术语 可编辑术语库

DLT 1033-2006 电力行业词汇 英汉对照术语 可编辑术语库

EN CNwaveform quality波形质量urban power supply城市供电large-scale consumer outage大面积停电power supply of large enterprise大型企业供电single side feeding单边供电single-circuit power supply单回路供电single-phase power supply单相供电power supply to subway地铁供电underground power supply地下供电power supply of electric traction电力牵引供电electricity marketing电力销售electricity marketing电力营销electric power quality电能质量voltage fluctuation and flicker电压波动和闪变unsymmetry of voltage and current电压和电流不平衡度voltage quality电压质量dynamic voltage recovery动态电压恢复器DVR动态电压恢复器independent electric supply独立电源multiple feed多回路供电polyphase power supply多相供电high-rise building power supply高层建筑物供电high-voltage power supply高压供电power supply供电power-supply point供电点power-supply source供电电源scheme of power supply供电方案types of power supply供电方式power-supply reliability供电可靠性capital contribution of power-supply供电贴费power-supply system供电系统power-supply quality供电质量power-supply interruption供电中断loop feeding环形供电prearranged power interruption计划停电emergency power supply紧急供电emergency service紧急供电thyristor power supply晶闸管供电haywire power supply临时线供电rural power service农村供电frequency quality频率质量three-phase power supply三相供电power supply for construction施工供电suburban power supply市郊供电emergency power interruption事故停电power receive point受电点two-side power supply双边供电two-side feeding双边供电double power source interlocking device双电源连锁装置double circuit power supply双回路供电power interruption停电outage停电custom power用户特定电力safety power consumption安全用电electricity saving technology of pump泵类节电技术marginal cost pricing边际成本制定电价alterations to existing electrical变更用电installationtransformer overload变压器过负荷fluctuating load波动负荷asymmetrical load不对称负荷station service power consumption厂用电station service load厂用电负荷electrical energy used by auxiliary厂用电量station power consumption rate厂用电率impulse load冲击负荷straight energy rate单一制电价base load低谷负荷low-voltage power consumption低压用电electricity price电价electricity rate电价electricity price structure电价结构electric power controller电力定量器load control system电力负荷控制装置power system overload电力系统过负荷demandside management电力需求侧管理DSM电力需求侧管理electric energy price电量制电价electric energy measuring management电能计量管理electric energy loss电能损耗power consumption电能消耗voltage fluctuation电压波动voltage flicker电压闪变fixed payment rate定额制电价restricted hour rate定时电价electricity consumption for power动力用电wholesale rate趸售电价bulk supply of electricity趸售用电block rate多级制电价nonlinear load非线性负荷distributed load分布负荷time-of-use pricing分时电价time-of-day price峰谷电价day/night rate峰谷电价time-of-use pricing峰谷分时电价peak load峰荷peak load period峰荷期间load electric峰荷期间load density负荷密度load curve负荷曲线large power consumption product高电耗产品peak load高峰负荷industrial load工业负荷industrial consumer工业用户power功率power factor adjustment charge功率因数调整电费management of power supply and use供用电管理contract of electric power supply and供用电合冋demandagreement of electric power supply and供用电协议demandoff-peak period谷荷期间overload过负荷contract management合同管理mutual-supply electricity price互供电价mixed consumer混合用户base load基本负荷scheduled power consumption计划用电time-of-season price季节性电价seasonal price季节性电价peak load尖峰负荷electricity saving节电amount of electricity saving节电量electricity saving节约用电technology for electricity saving节约用电技术intermptable load可中断负荷electricity saving technology of air空调节电技术conditionerregistration of consumer立户two-part price两部制电价management of rural electricity use农村用电管理electric power distribution配电average unit charge平均电价average peak load平均最大负荷electricity future price期货电价theft of electricity窃电unsufficient power supply缺电undersupply缺电commercial load商业负荷sales price to network上网电价transmission and distribution price输配电价simultaneity factor同时率transgression for using electricity违章用电reactive load无功负荷summer time system夏时制electricity spot price现货电价line overload线路过负荷current limiter限流器mid-range load腰荷business management营业管理electricity consumption用电safety management for customer用电安全管理electricity consumption rate用电单耗customer ’ s load用电负荷load management用电负荷管理management of customer’s load用电负荷管理consumer,s power用电功率consumer’s power factor用电功率因数inspection of customer’s installation用电检查electrical energy used用电量amount of electricity consumed用电量reactive power compensation of用电无功补偿装置demand-side management用电需方管理DSM用电需方管理consumer用户customer用户user用户customer's installation to obtain用户受电装置electricity from the networksubsidization rate优待电价intermediate load中间负荷mid-range load中间负荷residential load住宅负荷residential customer住宅用户automatic meter reading technology自动抄表技术all in rate综合电价maximum power output最大发电功率maximum load power最大用电功率speed control by pole-changing变极调速variable frequency starting变频启动variable frequency AC motor speed变频调速stepping motor步进电动机tachogenerator测速发电机tachometer generator测速发电机motor for vehicle车辆用电动机cascade speed control串级调速magnetic field reversal磁场反向magnetic phase shifter磁控移相器pantograph导电弓lo w-inertia motor低惯量电动机electromagnetic eddy current braking电磁涡流制动electromagnetic braking电磁制动speed control by electromagnetic slip电磁转差离合器调速clutchspeed control of motor电动机调速locomotive transformer电机车变压器rotating amplifier电机扩大机electric drive电力传动electric traction电力牵引power supply types of electric traction电力牵引供电方式power supply system of electric电力牵引供电系统electric drive电力驱动electric drive电力拖动electric drive control system电力拖动控制系统electric drive电气传动voltage compensation with series电气化铁路串联电容补偿capacitor for electric railwayoverhead contact network of electrical电气化铁路接触网railwayground net of electrical railway电气化铁路接地网armature rheostat speed control电枢串电阻调速rheostatic braking电阻制动dynamic braking动力制动regenerative braking发电制动load负载driving by induction motor感应电动机拖动inductosyn感应同步器induction phase shifter感应移相器load荷载speed control with constant power恒功率调速speed control with constant torque恒转矩调速regenerative braking回馈制动reduced-voltage starting降压启动starting of AC motor交流电动机启动soft starting of AC motor交流电动机软启动speed control of AC motor交流电动机调速driving by AC motor交流电动机拖动driving by universal motor交直流两用电动机拖动switched reluctance motor开关磁阻电动机reversible speed control可逆调速control motor控制电机wide-range speed control宽调速torque motor力矩电动机starting by frequency- sensitive频敏变阻器启动stepless speed control平滑调速starting启动AT post for electric traction AT牵引站testing car for traction substation牵引变电设备检测车substaicm of electric traction牵引变电站traction substation牵引变电站traction transformer牵引变压器traction motor牵引电动机traction electric machine牵引电机traction electrical apparatus牵引电器electric traction equipment牵引电气设备traction generator牵引发电机sectioning post for electric traction牵引分区所auxiliary traction machine牵引辅助电机tractive force牵引力tractive grade牵引坡度thermodynamic tractive test牵引热工试验traction test牵引试验traction network牵引网telemechanical equipment of electric牵引远动装置tractiontraction theory牵引理论starting of wound-rotor asynchronous绕线型异步电动机启动pantograph受电弓starting of squirrel-cage asynchronous鼠笼型异步电动机启动motortwo-direction linear stepping motor双向直线步进电动机servomotor伺服电动机speed governing速度调整speed control by changing the field调磁调速speed control by changing the field调磁调压调速current and the armature voltagespeed governing调速speed control system调速系统variable voltage speed control调压调速starting of synchronous motor同步电动机启动driving by synchronous motor同步电动机拖动synchros同步器coaxial cable power supply system同轴电缆供电系统speed control of commutatorless motor无换向器电动机调速booster transformer supply system吸流变压器供电系统rotary frequency converter旋转变频机electrical resolver旋转变压器driving by asynchronous motor异步电动机拖动load载荷regenerative brake再生制动simple feeding system直接供电系统across the line starting直接启动direct-on-line starting直接启动speed control of DC motor直流电动机调速driving by DC motor直流电动机拖动linear electric motor直线电动机driving by linear motor直线电动机拖动braking制动shaft encoder轴角编码器starting by series rheostat in rotor转子串电阻启动circuitspeed control by rotor rheostat转子串电阻调速accurate-synchronized starting准同步启动autotransformer power supply system自稱变压器供电系统synchro自整角机selsyn自整角机AT traction network AT牵引网ultrahigh power electric arc furnace超高功率电弧炉plasma heating等离子加热plasma furnace等离子炉plasma heating等离子体加热arc heating电弧加热arc furnace电弧炉electric arc furnace电弧炉electric heating电加热electric heater电加热器current penetration depth电流透入深度electric furnace电炉furnace transformer电炉变压器electric boiler电热锅炉electrothermal melting furnace电热恪炉electroheat installation电热设备electric-heat installation电热设备electric water heater电热水器electric heating element电热元件electron beam heating电子束加热electron beam furnace电子束炉resistance arc furnace电阻电弧炉resistance heating电阻加热resistance furnace电阻炉induction heating感应加热induction heating equipment感应加热设备induction furnace感应炉high-frequency electric field heating高频电场加热high-frequency induction furnace高频感应炉high-frequency heating高频加热power frequency induction furnace工频感应炉infrared heating红外加热arc resistance furnace弧阻炉laser heating激光加热electroheat installation for room建筑物电加热设施dielectric heating介质加热ore-smelting electric furnace矿热电炉microwave heating微波加热microwave oven微波炉heat-accumulating type electric boiler蓄热式电锅炉vacuum furnace真空炉medium frequency induction furnace中频感应炉city城市electrical energy utilization of城市电车用电trolley buselectrical energy utilization of town城市给水设施用电water supply facilityelectrical energy utilization of town城市排水设施用电sewerage facilitytown城镇water pump抽水机pumping station抽水站electrical energy utilization of地下铁道用电underground railwayelectric fishing电捕鱼法irrigation by electric power电力灌溉electrical irrigation and electrical电力排灌drainagecomposition of electricity consumption电力消费构成electrical energy utilization of电石工业用电calcium carbide industryelectrical energy utilization of纺织工业用电textile industryelectrical energy utilization of steel钢铁工业用电industryelectrical energy utilization of huge高层建筑用电buildingelectrical energy utilization of工业用电irrigation灌溉electrical energy utilization of黑色金属工业用电ferrous metal industryelectrical energy utilization of化肥工业用电chemical fertilizer industryelectrical energy utilization of化学工业用电chemical industryelectrical energy utilization of化学纤维工业用电chemical fiber industryelectromechanical irrigation机电排灌electrical energy utilization of机械工业用电machinery processingelectrical energy utilization of建筑材料工业用电constructional material industryelectricity consumption of建筑材料工业用电constructional material industryelectricity consumption of construction建筑工业用电industryelectrical energy consumption of交通运输用电traffic and transportationelectrical energy utilization of居民生活用电resident’s livingelectricity consumption for road路灯用电electrical energy utilization of铝工业用电aluminium industryelectrical energy utilization of alkali氯碱工业用电chloride industryelectrical energy utilization of wool毛纺织工业用电fabric industryelectrical energy utilization of coal煤炭工业用电industryelectrical energy utilization of cotton棉纺织工业用电fabric industrycountryside农村rural substation农村变电站rural low voltage distribution network农村低压配电网rural electric power network农村电力网voltage regulation in rural electric农村电力网电压调整power networkreactive power compensation in rural农村电力网无功补偿electric power networkrural electrification农村电气化rural power source农村电源electrical equipment of rural electric农村电力网电气设备power networkrural high voltage distribution network农村高压配电网electricity consumption for rural农村居民生活用电rural distribution substation农村配电变压器台rural small thermal power generation农村小火电rural small hydroelectric power农村小水电rural new energy resource power农村新能源发电rural electricity consumption农村用电rural medium voltage distribution农村中压配电网electrical energy utilization of农业用电agricultureelectricity consumption for irrigation排灌用电and drainageimgation and drainage pumping station排灌站spray irrigation喷灌overhead irrigation喷灌electrical energy utilization of商业用电electrical energy utilization of石油化学工业用电petrochemical industryelectrical energy utilization of石油及天然气开采用电petroleum and natural gas developmentelectrical energy utilization of food食品工业用电industryelectrical energy utilization of市政公用设施用电municipal public facilitywater pump水泵electrical energy utilization of cement水泥工业用电industryelectrical energy utilization of iron铁合金工业用电alloy industryelectrical energy utilization of copper铜工业用电industrysoil amelioration土壤改良soil improvement土壤改良dispatching automation of electric县级电网调度自动化power networks on county levelelectricity consumption for township乡镇工业用电industryelectrical energy utilization of印染工业用电printing and dyeing industryelectricity consumption structure用电构成electrical energy utilization of non-有色金属工业用电ferrous metal industryelectrical energy utilization of paper造纸工业用电industryelectricity consumption for lighting照明用电direct distribution substation直配变电站。

AMIBIOS设置详解文字版

AMIBIOS设置详解文字版

AMI BIOS设置详解文字版由于BIOS的唯一性和其在PC机架构中特殊的位置,通过恶意软件对BIOS进行未经授权的修改可能会对计算机造成明显和严重的威胁。

接下来是小编为大家收集的AMI BIOS设置详解文字版,希望能帮到大家。

AMI BIOS设置详解文字版BIOS是英文Basic Input/Output System(基本输入/输出系统)的缩写,其程序储存在主板上的 EPROM或Flash ROM 内,作用是测试装在主板上的部件能否正常工作,并提供驱动程序接口,设定系统相关配备的组态。

当你的系统配件与原CMOS参数不符合时,或CMOS 参数遗失时,或系统不稳定时,就需要进入BIOS设定程序,以重新配置正确的系统组态。

进入AMI BIOS设定程序1. 打开系统电源或重新启动系统,显示器屏幕将出现自我测试的信息;2. 当屏幕中间出现"Press to enter setup"提示时,按下键,就可以进入BIOS设定程序。

3. 以方向键移动至你要修改的选项,按下键即可进入该选项的子画面;4. 使用方向键及〈Enter〉键即可修改所选项目的值,也可用鼠标(包括PS/2鼠标)选择BIOS选项并修改。

5. 任何时候按下键即可回到上一画面;6. 在主画面下,按下键,选择“Saving Changes And Exit"即可储存你的新设定并重新启动系统。

选择“Exit Without Saving",则会忽略你的改变而跳出设定程序。

Standard Setup(标准设定)窗口Date/Time: 显示当前的日期/时间,可修改。

Floppy Drive A,B: 设定软盘驱动器类型为None/720K/1.2M/1.44M/2.88M 。

Pri Master/Slave以及Sec Master/Slave: 此选项可设定:HDD Type(硬盘类型): Auto(自动检测)、SCSI(SCSI HDD)、CD-ROM驱动器、Floptical(LS-120大容量软驱)或是Type 1~47等IDE 设备。

美国半导体CM3202-02 DDR VDDQ和VTT终端电压调节器数据手册说明书

美国半导体CM3202-02 DDR VDDQ和VTT终端电压调节器数据手册说明书

CM3202-02DDR VDDQ and VTT Termination Voltage RegulatorProduct DescriptionThe CM3202−02 is a dual−output low noise linear regulator designed to meet SSTL−2 and SSTL−3 specifications for DDR−SDRAM V DDQ supply and termination voltage V TT supply. With integrated power MOSFETs the CM3202−02 can source up to 2A of VDDQ continuous current, and source or sink up to 2 A VTT continuous current. The typical dropout voltage for VDDQ is 500 mV at 2 A load current.The CM3202−02 provides excellent full load regulation and fast response to transient load changes. It also has built−in over−current limits and thermal shutdown at 170°C.The CM3202−02 supports Suspend−To−RAM (STR) and ACPI compliance with Shutdown Mode which tri−states VTT to minimize quiescent system current.The CM3202−02 is available in a space saving WDFN8 surface mount packages. Low thermal resistance allows them to withstand high power dissipation at 85°C ambient. The CM3202−02 can operate over the industrial ambient temperature range of –40°C to 85°C. Features•Two Linear Regulators•Maximum 2 A Current from VDDQ•Source and Sink Up to 2 A VTT Current•1.7 V to 2.8 V Adjustable VDDQ Output V oltage•0.85 V to 1.4 V VTT Output V oltage (Tracking at 50% of VDDQ)•500 mV Typical VDDQ Dropout V oltage at 2 A •Excellent Load and Line Regulation, Low Noise•Meets JEDEC DDR−I and DDR−II Memory Power Spec •Linear Regulator Design Requires no Inductors and Has Low External Component Count•Integrated Power MOSFETs•Dual Purpose ADJ/Shutdown Pin•Built−In Over−Current Limit and Thermal Shutdown for V DDQ and V TT•Fast Transient Response•Low Quiescent Current•These Devices are Pb−Free and are RoHS CompliantApplications•DDR Memory and Active Termination Buses •Desktop Computers, Servers •Residential and Enterprise Gateways •DSL Modems •Routers and Switches•DVD Recorders•3D AGP Cards•LCD TV and STBMARKING DIAGRAMDevice Package Shipping†ORDERING INFORMATIONCM3202−02DE WDFN8(Pb−Free)3000/T ape & Reel†For information on tape and reel specifications,including part orientation and tape sizes, pleaserefer to our Tape and Reel Packaging SpecificationBrochure, BRD8011/D.CM320 202DE= CM3202−02DECM320202DEWDFN8DE SUFFIXCASE 511BHTYPICAL APPLICATIONV IN = 3.3 V to 3.6 VFUNCTIONAL BLOCK DIAGRAMVTTGNDPACKAGE / PINOUT DIAGRAMSTop View(Pins Down View)Thermal PadCM3202−02DETable 1. PIN DESCRIPTIONSPin(s)Name Description1VIN Input supply voltage pin. Bypass with a 220 m F capacitor to GND.2NC Not internally connected. For better heat flow, connect to GND (exposed pad).3VTT V TT regulator output pin, which is preset to 50% of V DDQ.4NC Not internally connected. For better heat flow, connect to GND (exposed pad).5GND Ground pin (analog).6GND Ground pin (power).7ADJSD This pin is for V DDQ output voltage adjustment. It is available as long as V DDQ is enabled.During Manual/Thermal shutdown, it is tightened to GND. The V DDQ output voltage is setusing an external resistor divider connected to ADJSD:V DDQ = 1.25 V ×((R1 + R2) / R2)Where R1 is the upper resistor and R2 is the ground−side resistor. In addition, the ADJSD pin functions as aShutdown pin. When ADJSD voltage is higher than 2.7 V (SHDN_H), the circuit is in Shutdown mode. WhenADJSD voltage is below 1.5 V (SHDN_L), both VDDQ and VTT are enabled. A low−leakage Schottky diode inseries with ADJSD pin is recommended to avoidinterference with the voltage adjustment setting.8VDDQ VDDQ regulator output voltage pin.EPad GND The backside exposed pad which serves as the package heatsink. Must be connected to GND.SPECIFICATIONSTable 2. ABSOLUTE MAXIMUM RATINGSParameter Rating Units VIN to GND[GND − 0.3] to +6.0VPin VoltagesV DDQ, V TT to GND ADJSD to GND [GND − 0.3] to +6.0[GND − 0.3] to +6.0VOutput CurrentVDDQ / VTT, continuous (Note 1) VDDQ / VTT, peakVDDQ Source + VTT Source 2.0 / ±2.02.8 / ±2.83ATemperature Operating Ambient Operating Junction Storage –40 to +85–40 to +170–40 to +150°CThermal Resistance, R JA (Note 2)55°C / W Continuous Power Dissipation (Note 2)WDFN8, T A = 25°C / 85°C 2.6 / 1.5WESD Protection (HBM)2000VLead Temperature (soldering, 10 sec)300°C Stresses exceeding Maximum Ratings may damage the device. Maximum Ratings are stress ratings only. Functional operation above the Recommended Operating Conditions is not implied. Extended exposure to stresses above the Recommended Operating Conditions may affect device reliability.1.Despite the fact that the device is designed to handle large continuous/peak output currents, it is not capable of handling these under allconditions. Limited by the package thermal resistance, the maximum output current of the device cannot exceed the limit imposed by the maximum power dissipation value.2.Measured with the package using a 4 in2 / 2 layers PCB with thermal vias.Table 3. STANDARD OPERATING CONDITIONSParameter Rating Units Ambient Operating Temperature Range–40 to +85°CVDDQ RegulatorSupply Voltage, VINLoad Current, Continuous Load Current, Peak (1 sec) C DDQ 3.0 to 3.60 to 22.5220VAAm FVTT RegulatorSupply Voltage, VINLoad Current, Continuous Load Current, Peak (1 sec) C TT 3.0 to 3.60 to ±2.0±2.50220VAAm FVIN Supply Voltage Range 3.0 to 3.6VVDDQ Source + VTT Source Load Current, Continuous Load Current, Peak (1 sec)2.53.5AJunction Operating Temperature Range–40 to +150°CSPECIFICATIONS (Cont’d)Table 4. ELECTRICAL OPERATING CHARACTERISTICS (Note 1)Symbol Parameter Conditions Min Typ Max Units GeneralVIN Supply Voltage Range 3.0 3.6VI Q Quiescent Current I DDQ = 0, I TT = 0715mA V ADJSD ADJSD Voltage 1.225 1.250 1.275VI SHDN Shutdown Current V ADJSD = 3.3 V (Shutdown) (Note 3)0.20.5mA SHDN_H ADJSD Logic High(Note 2) 2.7V SHDN_L ADJSD Logic Low 1.5V UVLO Under−Voltage Lockout Hysteresis = 100 mV 2.40 2.70 2.90VT OVER Thermal SHDN Threshold150170°C T HYS Thermal SHDN Hysteresis50°C TEMPCO V DDQ, V TT TEMPCO I OUT = 1 A80ppm/°C VDDQ RegulatorV DDQ DEF VDDQ Output Voltage I DDQ = 100 mA 2.450 2.500 2.550VV DDQ LOAD VDDQ Load Regulation10 mA ≤ I DDQ≤2 A (Note 3)1025mV V DDQ LINE VDDQ Line Regulation 3.0 V ≤ VIN ≤3.6 V, I DDQ= 0.1 A525mV V DROP VDDQ Dropout Voltage I DDQ= 2 A (Note 4)500mVI ADJ ADJSD Bias Current(Note 3)0.8 3.0m A I DDQ LIM VDDQ Current Limit 2.0 2.5A VTT RegulatorV TT DEF VTT Output Voltage I TT = 100 mA 1.225 1.250 1.275VV TT LOAD VTT Load Regulation Source, 10 mA ≤ I TT≤ 2 A (Note 3)Sink, −2A ≤ I TT≤ 10 mA (Note 3)–3010–1030mVmVV TT LINE VTT Line Regulation 3.0 V≤VIN≤3.6 V, I TT= 0.1 A515mVI TT LIM ITT Current Limit Source / Sink (Note 3)±2.0±2.5AI VTT OFF VTT Shutdown Leakage Current V ADJSD = 3.3 V (Shutdown)10m A1.VIN = 3.3 V, V DDQ=2.50 V, VTT = 1.25 V (default values), C DDQ= C TT= 47 m F, T A = 25°C unless otherwise specified.2.The ADJSD Logic High value is normally satisfied for full input voltage range by using a low leakage current (below 1 m A). Schottky diodeat ADJSD control pin.3.Load and line regulation are measured at constant junction temperature by using pulse testing with a low duty cycle. For high current tests,correlation method can be used. Changes in output voltage due to heating effects must be taken into account separately. Load and line regulation values are guaranteed by design up to the maximum power dissipation.4.Dropout voltage is the input to output voltage differential at which output voltage has dropped 100 mV from the nominal value obtained at3.3 V input. It depends on load current and junction temperature. Guaranteed by design.TYPICAL OPERATING CHARACTERISTICS0.750.850.951.051.151.251.351.451.551.651.5 1.75 2 2.25 2.5 2.75 3 3.252.4502.4752.5002.5252.550−40−20 0 20 40 60 80 100 120 1400.51.0 1.52.0 2.51.02.03.04.0010020030040050060000.51.01.52.02.53.00.51.01.52.02.5TEMPERATURE (5C)V D D Q (V )VDDQ vs. TemperatureVTT vs. VDDQVDDQ (V)V T T (V )VDDQ vs. Load CurrentIDDQ (A)V D D Q (V )VIN = 3.3 V T A = 25°CVDDQ Dropout vs. IDDQIDDQ (A)D r o p o u t V o l t a g e (m V )T A = 25°CVTT vs. Load CurrentITT (A)V T T (V )VIN = 3.3 VStartup into Full LoadTime (1 ms/div)UVLOVIN = 3.3 V2 V/divVTT 1 V/div Vin VDDQ 1 V/divTYPICAL OPERATING CHARACTERISTICS (Cont’d)V INI DDQ0.5A/divV DDQ 0.1V/divI TT0.5A/divV TT0.1V/divTIME (0.2ms/div)TIME (0.2ms/div)-0.75AVDDQ Transient Response VTT Transient ResponseV IN= 3.3VAPPLICATION INFORMATIONPowering DDR MemoryDouble−Data−Rate (DDR) memory has provided a huge step in performance for personal computers, servers and graphic systems. As is apparent in its name, DDR operates at double the data rate of earlier RAM, with two memory accesses per cycle versus one. DDR SDRAMs transmit data at both the rising and falling edges of the memory bus clock.DDR’s use of Stub Series Terminated Logic (SSTL) topology improves noise immunity and power−supply rejection, while reducing power dissipation. To achieve this performance improvement, DDR requires more complex power management architecture than previous RAM technology.Unlike the conventional DRAM technology, DDR SDRAM uses differential inputs and a reference voltage for all interface signals. This increases the data bus bandwidth, and lowers the system power consumption. Power consumption is reduced by lower operating voltage, a lower signal voltage swing associated with Stub Series Terminated Logic (SSTL_2), and by the use of a termination voltage, V TT. SSTL_2 is an industry standard defined in JEDEC document JESD8−9. SSTL_2 maintains high−speed data bus signal integrity by reducing transmission reflections. JEDEC further defines the DDR SDRAM specification in JESD79C.DDR memory requires three tightly regulated voltages: V DDQ, V TT, and V REF (see Typical DDR terminations, Class II). In a typical SSTL_2 receiver, the higher current V DDQ supply voltage is normally 2.5 V with a tolerance of ±200mV. The active bus termination voltage, V TT, is half of V DDQ. V REF is a reference voltage that tracks half of V DDQ±1%, and is compared with the V TT terminated signal at the receiver. V TT must be within ±40 mV of V REFFigure 1. Typical DDR Terminations, Class IIThe VTT power requirement is proportional to the number of data lines and the resistance of the termination resistor, but does not vary with memory size.In a typical DDR data bus system each data line termination may momentarily consume 16.2mA to achieve the 405 mV minimum over V TT needed at the receiver:I terminaton+405 mVRt(25 W)+16.2 mAA typical 64 Mbyte SSTL−2 memory system, with 128 terminated lines, has a worst−case maximum V TT supply current up to ±2.07 A. However, a DDR memory system is dynamic, and the theoretical peak currents only occur for short durations, if they ever occur at all. These high current peaks can be handled by the V TT external capacitor. In a real memory system, the continuous average V TT current level in normal operation is less than ±200 mA.The VDDQ power supply, in addition to supplying current to the memory banks, could also supply current to controllers and other circuitry. The current level typically stays within a range of 0.5 A to 1 A, with peaks up to 2 A or more, depending on memory size and the computing operations being performed.The tight tracking requirements and the need for V TT to sink, as well as source, current provide unique challenges for powering DDR SDRAM.CM3202−02 RegulatorThe CM3202−02 dual output linear regulator provides all of the power requirements of DDR memory by combining two linear regulators into a single TDFN−8 package. VDDQ regulator can supply up to 2 A current, and the two−quadrant V TT termination regulator has current sink and source capability to ±2 A. The VDDQ linear regulator uses a PMOS pass element for a very low dropout voltage, typically 500 mV at a 2 A output. The output voltage of V DDQ can be set by an external voltage divider. The use of regulators for both the upper and lower side of the VDDQ output allows a fast transient response to any change of the load, from high current to low current or inversely. The second output, V TT, is regulated at V DDQ/2 by an internal resistor divider. Same as VDDQ, VTT has the same fast transient response to load change in both directions. The V TT regulator can source, as well as sink, up to 2 A current. The CM3202−02 is designed for optimal operation from a nominal 3.3 VDC bus, but can work with VIN up to 5 V. When operating at higher VIN voltages, attention must be given to the increased package power dissipation and proportionally increased heat generation. Limited by the package thermal resistance, the maximum output current of the device at higher VIN cannot exceed the limit imposed by the maximum power dissipation value.V REF is typically routed to inputs with high impedance, such as a comparator, with little current draw. An adequate V REF can be created with a simple voltage divider of precision, matched resistors from V DDQ to ground. A small ceramic bypass capacitor can also be added for improved noise performance.Input and Output CapacitorsThe CM3202−02 requires that at least a 220 m F electrolytic capacitor be located near the VIN pin for stability and to maintain the input bus voltage during load transients. An additional 4.7 m F ceramic capacitor between the VIN and GND, located as close as possible to those pins, is recommended to ensure stability.At a minimum, a 220 m F electrolytic capacitor is recommended for the V DDQ output. An additional 4.7 m F ceramic capacitor between the V DDQ and GND, located very close to those pins, is recommended.At a minimum, a 220 m F electrolytic capacitor is recommended for the V TT output. This capacitor should have low ESR to achieve best output transient response. SP or OSCON capacitors provide low ESR at high frequency, and thus are a good choice. In addition, place a 4.7 m F ceramic capacitor between the V TT pin and GND, located very close to those pins. The total ESR must be low enough to keep the transient within the V TT window of 40 m V during the transition for source to sink. An average current step of ±0.5 A requires:ESR t 40 mV1 A+40 m WBoth outputs will remain stable and in regulation even during light or no load conditions. The general recommendation for circuit stability for the CM3202−02 requires the following:1.C IN = C DDQ = C TT = 220 m F/4.7 m F for the full temperature range of –40 to +85°C.2.C IN = C DDQ = C TT = 100 m F/2.2 m F for the temperature range of –25 to +85°C.Adjusting VDDQ Output VoltageThe CM3202−02 internal bandgap reference is set at 1.25 V. The V DDQ voltage is adjustable by using a resistor divider, R1 and R2:V DDQ+V ADJ R1)R2R2where V ADJ = 1.25 V. The recommended divider value is R1= R2= 10 k W for DDR−1 application, and R1 = 4.42 k W, R2=10k W for DDR−2 application (V DDQ= 1.8 V, V TT= 0.9 V).ShutdownADJSD also serves as a shutdown pin. When this is pulled high (SHDN_H), both the VDDQ and the VTT outputs tri−state and could sink/source less than 10 m A. During shutdown, the quiescent current is reduced to less than 0.5 mA, independent of output load.It is recommended that a low leakage Schottky diode be placed between the ADJSD Pin and an external shutdown signal to prevent interference with the ADJ pin’s normal operation. When the diode anode is pulled low, or left open, the CM3202−02 is again enabled.Current Limit and Over−temperature ProtectionThe CM3202−02 features internal current limiting with thermal protection. During normal operation, V DDQ limits the output current to approximately 2 A and V TT limits the output current to approximately ±2 A. When V TT is current limiting into a hard short circuit, the output current folds back to a lower level (~1 A) until the over−current condition ends. While current limiting is designed to prevent gross device failure, care should be taken not to exceed the power dissipation ratings of the package. If the junction temperature of the device exceeds 170°C (typical), the thermal protection circuitry triggers and tri−states both VDDQ and VTT outputs. Once the junction temperature has cooled to below about 120°C the CM3202−02 returns to normal operation.Typical Thermal CharacteristicsThe overall junction to ambient thermal resistance (q JA) for device power dissipation (P D) primarily consists of two paths in the series. The first path is the junction to the case (q JC) which is defined by the package style and the second path is case to ambient (q CA) thermal resistance which is dependent on board layout. The final operating junction temperature for any condition can be estimated by the following thermal equation:T JUNC+T AMB)P D(q JC))P D(q CA) +T AMB)P D(q CA)When a CM3202−02 using WDFN8 package is mounted on a double−sided printed circuit board with four square inches of copper allocated for “heat spreading,” the q JA is approximately 55°C/W. Based on the over temperature limit of 170°C with an ambient temperature of 85°C, the available power of the package will be:+1.5WP D+170°C*85°C55°CńWPCB Layout ConsiderationsThe CM3202−02 has a heat spreader (exposed pad) attached to the bottom of the WDFN8 package in order for the heat to be transferred more easily from the package to the PCB. The heat spreader is a copper pad with slightly smaller dimensions than the package itself. By positioning the matching pad on the PCB top layer to connect to the spreader during manufacturing, the heat will be transferred between the two pads. Thermal Layout for WDFN8 package shows the CM3202−02 recommended PCB layout. Please note there are four vias to allow the heat to dissipate into the ground and power planes on the inner layers of the PCB. Vias must be placed underneath the chip but this can result in solder blockage. The ground and power planes need to be at least 2 square inches of copper by the vias. It also helps dissipation if the chip is positioned away from the edge of the PCB, and away from other heat−dissipating devices. A good thermal link from the PCB pad to the rest of the PCB will assure the best heat transfer from the CM3202−02 to ambient temperature.Top ViewBottom LayerGround PlaneFigure 2. Thermal Layout for WDFN8 PackageWDFN8 3x3, 0.65P CASE 511BH −01ISSUE ODATE 21 JUL 2010SCALE 2:1NOTES:1.DIMENSIONING AND TOLERANCING PER ASME Y14.5M, 1994.2.CONTROLLING DIMENSION: MILLIMETERS.3.DIMENSION b APPLIES TO PLATEDTERMINAL AND IS MEASURED BETWEEN 0.15 AND 0.30 MM FROM TERMINAL TIP .4.COPLANARITY APPLIES TO THE EXPOSED PAD AS WELL AS THE TERMINALS.DIM MIN MAX MILLIMETERS A 0.700.80A10.000.05b 0.250.35D 3.00 BSC D2 2.20 2.40E 3.00 BSC E2 1.40 1.60e 0.65 BSC L 0.200.40*For additional information on our Pb −Free strategy and soldering details, please download the ON Semiconductor Soldering and Mounting Techniques Reference Manual, SOLDERRM/D.SOLDERING FOOTPRINT*DIMENSIONS: MILLIMETERSA30.20 REF L1−−−0.15RECOMMENDEDK 0.45 REF MECHANICAL CASE OUTLINEPACKAGE DIMENSIONSON Semiconductor and are trademarks of Semiconductor Components Industries, LLC dba ON Semiconductor or its subsidiaries in the United States and/or other countries.ON Semiconductor reserves the right to make changes without further notice to any products herein. ON Semiconductor makes no warranty, representation or guarantee regarding the suitability of its products for any particular purpose, nor does ON Semiconductor assume any liability arising out of the application or use of any product or circuit, and specifically disclaims any and all liability, including without limitation special, consequential or incidental damages. ON Semiconductor does not convey any license under its patent rights nor the rights of others.© Semiconductor Components Industries, LLC, 2019PUBLICATION ORDERING INFORMATIONTECHNICAL SUPPORTNorth American Technical Support:Voice Mail: 1 800−282−9855 Toll Free USA/Canada Phone: 011 421 33 790 2910LITERATURE FULFILLMENT :Email Requests to:*******************onsemi Website: Europe, Middle East and Africa Technical Support:Phone: 00421 33 790 2910For additional information, please contact your local Sales Representative◊。

AS3543中文资料

AS3543中文资料

AS3543High End Stereo Audio Codec with System PMUPreliminary Product BriefGeneral DescriptionThe AS3543 is an ultra low power stereo audio codec and is designed for Portable Digital Audio Applications. It allows high-end quality playback with up to 100dBA SNR and recording in FM quality. With one microphone (including pre-amplifier and supply for an electret micro-phone) and two line inputs, it allows connecting a variety of audio inputs. The different audio signals can be mixed via a 6-channel mixer and fed to either a headphone out-put for 16 /32 headsets or a line output. Both outputs have a ground noise cancellation to use it e.g. in car docking stations. The audio outputs have also an auto fading implemented which performs the fade-in, fade-out as well as the transition between specific volume levels automatically with an selectable timing.Further the device offers advanced power management functions. All necessary ICs and peripherals in a Digital Audio Player are supplied by the AS3543. It features 2 DCDC converters for core and memory/periphery supply as well as 4 LDOs. Both DCDC converter feature DVM (dynamic voltage management) with an selectable tim-ing for the voltage stepping. The different regulated sup-ply voltages are programmable via the serial control interface.The step-up converter for the backlight can operate up to 25V (with an external transistor even higher) in volt-age and current control mode. An internal voltage pro-tection is limiting the output voltage in the case ofexternal component failures. 2 high voltage current sinks can be used to operate two , if needed also unbalanced, LED strings. An automatic dimming function allows a logarithmic on/off of the backlight with selectable timing.AS3543 also contains a Li-Ion battery charger with con-stant current, constant voltage and trickle charging. The maximum charging current is 460mA. An integrated bat-tery switch is separating the battery during charging or whenever an external power supply is present. With this switch it is also possible to operate with no or deeply dis-charged batteries.The AS3543 has an on-chip, phase locked loop (PLL) which generates the needed internal CODEC master clock. I2S Frame and shift-clock have to be applied from the processor for playback and recording.Further the AS3543 has an independent 32kHz real time clock (RTC) on chip which allows a complete power down of the system CPU while only consuming less than 1µA. An internal switch automatically switches between the RTC backup-battery and main battery supply.The single supply voltage may vary from 2.7V (2.4V) to 5.5V.Key FeaturesAudioAudio power consumption:-5mW: 96dB DAC to Headphone @ 1.8V, 32Ω -7mW: 100dB DAC to Headphone @ 2.9V, 32ΩSigma Delta ConvertersDAC-98dB SNR ('A' weighted) @ 1.8V -102dB SNR ('A' weighted) @ 2.9V ADC-83dB SNR ('A' weighted) @ 1.8V Sampling Frequency -DAC: 8-48kHz -ADC: 8-24kHzHigh Efficiency Headphone Amplifiervolume control via serial interface 32 steps @1.5dB and MUTE2x12mW @16 driver capability@ 1.8V supply THD -74dB @16Ω; 1.8V2x40mW @16 driver capability@ 2.9V supply THD -77dB @16Ω; 2.9Vheadphone and over-current detection phantom ground eliminates large capacitors ground noise cancellationLine Outputvolume control via serial interface 32 steps @1.5dB and MUTE 0.6Vp @10k Ωground noise cancellationMicrophone Input3 gain pre-setting (28dB/34dB/40dB) and AGC 2 gain steps @1.5dB and MUTEsupply for electret microphonemicrophone detectionremote control by switch2 Line Inputsvolume control via serial interface32 steps @1.5dB and MUTEstereo or 2x monoAudio Mixer6 channel input/output mixer with AGCmixes line inputs abd microphone with DACleft and right channels independentPower ManagementVoltage Generationstep down for CPU core (0.61V-3.35V, 250mA) step down for peripheral (0.61V-3.35V, 250mA) LDO1 for AFE supply (1.7V (1.65-3.2V), 50mA) LDO2 for AFE supply (2.7V (2.3-3.5V), 200mA) LDO3 for peripherals (1.2V-3.5V, 100/200mA) LDO4 for peripherals (1.2V-3.5V, 100/200mA) VBUS comparatorseparate input for LDO3power supply supervision & hibernation modes 5sec and 10sec emergency shut-down Backlight Driverstep up for backlight (25V)current control mode (1.2-36mA)voltage control mode2 current sinksautomatic dimmingover-voltage protectionBattery Chargerautomatic trickle charge (55mA)prog. constant current charging (55-460mA)prog. constant voltage charging (3.9V-4.25V) current limitation for USB modeintegrated battery switch GeneralSupervisorautomatic battery monitoring with interrupt genera-tion and selectable warning levelautomatic temperature monitoring with interrupt generation and selectable warning and shutdown levelsReal Time Clockultra low power 32kHz oscillator32bit RTC sec counter, 96 days auto wake-upselectable alarm (seconds or minutes)128bit free SRAM for random settings32kHz clock output to peripheralvoltage generationtrim able oscillator<1uA total power consumptionAuxiliary Oscillator (system clock generation) low power 12-24MHz oscillatorclock outputGeneral Purpose ADC10bit resolution19 inputs analog multiplexerInterfaces2 wire serial control interfacereset pin with selectable delay, power good pin64bit unique ID (OTP)22 different interruptsPackage CTBGA68 [6.0x6.0x1.1mm] 0.5mm pitch ApplicationsPortable Digital Audio/Video Player and Recorder PDA, SmartphoneCopyrightsCopyright © 1997-2007, austriamicrosystems AG, Schloss Premstaetten, 8141 Unterpremstaetten, Austria-Europe. Trademarks Registered ®. All rights reserved. The material herein may not be reproduced, adapted, merged, trans-lated, stored, or used without the prior written consent of the copyright owner.All products and companies mentioned are trademarks or registered trademarks of their respective companies. DisclaimerDevices sold by austriamicrosystems AG are covered by the warranty and patent indemnification provisions appearing in its Term of Sale. austriamicrosystems AG makes no warranty, express, statutory, implied, or by description regarding the information set forth herein or regarding the freedom of the described devices from patent infringement. austria-microsystems AG reserves the right to change specifications and prices at any time and without notice. Therefore, prior to designing this product into a system, it is necessary to check with austriamicrosystems AG for current informa-tion. This product is intended for use in normal commercial applications. Applications requiring extended temperature range, unusual environmental requirements, or high reliability applications, such as military, medical life-support or life-sustaining equipment are specifically not recommended without additional processing by austriamicrosystems AG for each application. For shipments of less than 100 parts the manufacturing flow might show deviations from the standard production flow, such as test flow or test location.The information furnished here by austriamicrosystems AG is believed to be correct and accurate. However, austriamicrosystems AG shall not be liable to recipient or any third party for any damages, including but not limited to personal injury, property damage, loss of profits, loss of use, interruption of business or indirect, special, incidental or consequential damages, of any kind, in connection with or arising out of the furnishing, performance or use of the tech-nical data herein. No obligation or liability to recipient or any third party shall arise or flow out of austriamicrosystems AG rendering of technical or other services.Contact InformationHeadquartersaustriamicrosystems AGA-8141 Schloss Premstaetten, AustriaTel: +43 (0) 3136 500 0Fax: +43 (0) 3136 525 01For Sales Offices, Distributors and Representatives, please visit:/contact。

Sensirion SFC6000D和SFM6000D高性价比流量控制器和流量计数据手册说明书

Sensirion SFC6000D和SFM6000D高性价比流量控制器和流量计数据手册说明书

Datasheet – SFC6000D, SFM6000DBest price-performance ratio Mass Flow Controller, Flow MeterHighlights• Disruptive design with integrated electronics • High accuracy/repeatability (2% / 0.2% set point) •Wide control range (500:1)• RS485 and I 2C digital interface• No drift and no re-calibration required •Ultra-fast settling time (100 ms)The heart of SFC6000D and SFM6000D is the unsurpassed Sensirion CMOSens® technology. It combines a high precision sensor element with state-of-the-art signal processing on a single chip and thereby providing an accurately calibrated and temperature compensated signal. In fact, the full functionality of the device is integrated directly on the dedicated ASIC chip, which drastically limits the number of electrical components used. Thanks to CMOSens® sensor technology, Sensirion’s flow meters and controllers achieve unmatched ratings for speed, accuracy and repeatability at very attractive system cost. Due to the excellent long-term stability of CMOSens® chips, no recalibration is required.Device Overview (Page 14: Full product list)High performance and low cost of SFC6000D and SFM6000D make them the best choice for a wide range of applications, such as gas flushing, FOUP, analytical instrumentation, coating and medical equipment, process automation and gas mixing to name a few. CMOSens® SFC6000D and SFM6000D measure gas mass flow by the calorimetric principle based on heat transfer. A heater element on a thermally insulated membrane and two thermopiles up-stream and downstream are integrated on a single silicon chip. In the presence of gas flow, the temperature distribution up- and downstream is disturbed. This asymmetry is then measured. The measurement area as well as the A/D-converter and further signal processing are based on a single chip using CMOS standard processes (see Figure 1). Moreover, the same chip also takes over the function of a microprocessor in a standard mass flow controller, directly regulating the valve. This drastically reduces the complexity and the number of electronic components in SFC6000D.MEMS-based CMOSens® technology enables a significantly larger cross section of the gas channel than bypass capillary diameter in conventional mass flow controllers. This channel design makes the device more robust against particles, clogging and humidified gases.The minimal thermal mass of the membrane results in an ultra-fast sensor response time of 3-4 ms. Since the whole design of the amplification, A/D conversion, digital linearization and temperature compensation is matched to the sensor speed, a fully compensated flow measurement value can be delivered every millisecond. Combined with advanced control algorithms, SFC6000D offers greatly reduced settling times compared to conventional mass flow controllers.Furthermore, a special arrangement of the two temperature sensors, on-chip temperature compensation and the minimization of noise sources lead to unbeatable performance with regards to repeatability and accuracy over a large dynamic range. Thanks to the unique CMOSens® technology, the SFC6000D mass flow controllers and SFM6000D mass flow meters show zero-drift performance and control true mass flow independently of the ambient temperature and pressure changes.Figure 1. Cross-section view of the gas channel.Scan me to provide feedback1Quick Start GuideSFC6000D and SFM6000D can be evaluated easily using the EK-F5x evaluation kit. EK-F5x is compatible with all Sensirion mass flow meters and controllers of 5000 and 6000 families. A quick start guide is included in EK-F5x and can also be found on Sensirion website for the flow meter and mass flow controller.Figure 2. EK-F5x is the fastest way to evaluate Sensirion flow meters and controllers of 5000 and 6000 families.2Sensor SpecificationsTable 1. Overview of CMOSens® SFC6000D Mass Flow Controller and SFM6000D Mass Flow Meter Specifications. X stands for C=controller, or M=Meter. All data, unless otherwise noted, apply for the following calibration conditions: Temperature 20°C, Air and horizontal mounting position. SFC is calibrated at 3.0 bar overpressure (inlet: 4.0 bar absolute) against atmosphere (outlet: 1.0 bar absolute). SFM – at atmospheric pressure.*Air/N2 and CO2 are used for physical calibration. The other gasses are modelled. The accuracy stated for the modelled gasses is “typical” and cannot be guaranteed.1 Including offset, non-linearity and hysteresis. Measured against NIST traceable reference.2 Step answer from 10% to 100% of full scale within ±5% of set point error band.3 to within ±2 % of setpoint4 Pressure between flow inlet and flow outlet. For availability of higher differential pressure option, please contact Sensirion2.1Gas calibrationSFC6000D and SFM6000D are factory-calibrated for multiple gasses. Table 1 lists the available calibrations and the calibration gas numbers saved in internal memory of the device. The desired calibration can be selected by the user. Please see the application notes describing the communication interfaces or quick start guide for instructions on how to activate a desired calibration. These can be found on /sfc6000. Please note that the maximum flow rate achievable with each MFC is strongly dependent on the gas measured. Table 1 lists the maximum flow rates for all gasses for which the products have been calibrated.The calibration for Air and N2 is identical. It can be used with both gasses with the same result.2.2AccuracyAccuracy describes how precisely the mass flow controller / meter is able to control / measure flow rate with respect to the absolute flow of a given gas. Accuracy is mostly determined by the quality of the calibration and can be different for each calibration gas.For example: with mass flow controller set point of 10 slm and a real flow rate measured by an external reference of 10.2 slm, the set point accuracy would be calculated as:Accuracy=10.2−1010.2=2.0% set point2.3RepeatabilityUnlike accuracy, repeatability is not influenced by calibration quality and is directly related to the build quality of the mass flow controller / meter. It describes how reliably mass flow controller is able to reach a given setpoint (applied repeatably). For mass flow meter, it describes, how reliably the instrument measures the same flow repeatably.For example: if a mass flow meter measures the absolute flow of 10 slm multiple times and the resulting flow measurement results follow a Gaussian distribution centered around 9.97 slm with 0.02 standard deviation, then the repeatability is calculated as:Repeatability=0.029.97=0.2% set pointGenerally, for mass flow controllers / meters repeatability is better than accuracy. For mass flow controllers, in applications where an additional calibration or feedback loop exists, it is possible to relay on repeatability rather than accuracy of mass flow controller. One example would be an optimized process, where the setpoint value of mass flow controller is fine-tuned to give the desired outcome. In such case, it is not important that the absolute flow rate is close to the set point – instead, it is important that the optimized set point can be achieved repeatably to give the same process outcome.Accuracy and repeatability of SFC6000D and SFM600D devices are dominated by set point error at high flows and by the full-scale error at low flows. Figure 3 demonstrates this.Figure 3. Accuracy and repeatability at different set points. spa = set point.2.4Settling timeThe CMOSens® SFC6000D mass flow controller has an ultra-fast settling time. Figure 4 shows the typical response time of the SFC6000D in comparison to a mass flow controller using conventional capillary technology.Figure 4. Settling time of the SFC6000D vs. typical thermal mass flow controller.2.5Wide control and measurement rangeThe wide control range of the SFC6000D brings a decisive benefit in applications with a wide dynamic range of gas flows. Instead of two devices used for high flow and low flow ranges, a single SFC6000D device can efficiently cover a flow range of three orders of magnitude.Control and measurement range is defined as 0.2% - 100% full scale. This means that a mass flow controller with e.g. 50 slm full scale flow, can control flows lower than 0.1 slm. When relying on repeatability rather than absolute accuracy, even lower flows can be controlled.2.6Pressure dropMass flow controllers need pressurized gas sourced to operate. Pressure drop is generated, when gas passesthrough a mass flow controller. When evaluating a mass flow controller, it is important to verify that at maximum required flow rate, for a given gas, the pressure drop will be smaller than the inlet pressure –otherwise the desired maximum flow rate will not be possible to achieve.Mass flow meters have generally much lower pressure drop due to the absence of the valve and hence this is rarely a practical problem.Table 1 lists pressure drop at full scale flow of Air or N2. At the same setpoint, for gasses heavier than air, the pressure drop would generally be higher. For gasses lighter than air – it would be lower. Pressure drop scales approximately linearly with the density of the gas.3Electrical specificationsTable 2. Electrical specifications4Sensor OperationSFC6000D and SFM6000D are configured with RS485 and I2C interfaces. Please see the Technical download (/sfc6000) section for instruction on how to use these.For OEM projects with MOQ of 100 pcs / yr Analog Voltage interface is available on sister platform SFC6000 and SFM6000. Profibus, Modbus and other protocols are available on request.4.1RS485 interfaceThe pinout of the M8 connector available on SFC6000D and SFM6000D is shown below.Figure 5. Pinout of M8 connector fitted on SFC6000D and SFM6000D with RS485 interface.The maximum data readout rate available with RS485 interface is 200 Hz. It is recommended that the cable length between the master and the sensor does not exceed 3m.EK-F5x evaluation kit is designed to work with RS485 interface. When using the evaluation kit with Windows PC, the highest achievable data readout rate is 20 Hz.4.2I2C interfaceSFC6000D and SFM6000D can be operated by standard I2C interface. To access I2C interface, please remove the green cap from the sensor and unplug the 6-pin cable inside the sensor. Please make sure that the sensor is not powered, when doing so. Unplugging the internal 6-pin cable, while the device is powered will damage it.An example of a matching cable assembly is Molex Micro-Lock Plus 0451110606. The sensor is equipped with a Molex Micro-Lock Plus connector with 6 circuits and a 1.25 mm pitch (UPC: 889056511957), which is shown in Figure 6.Figure 6. Pinout of 6-pin connector on the sensor PCB used for I2C communication.Using I2C interface offers a benefit of faster data readout rates – up to 1 kHz, as compared to 200 Hz with RS485.4.3InitStep and Controller gainThe regulation parameters (InitStep and Controller gain) allow fine tuning of the PID controller. They let the user achieve a desired compromise between speed, overshoot, and control stability. The configured gain and initstep are reset upon unplugging the device.4.3.1InitStepInitStep refers to a normalized initial valve voltage. This voltage value will be added to the valve control value if the regulator is in the normal regulation mode and a setpoint value unequal zero is specified. This normalized InitStep value reflects the fact that for instance a 24 V valve starts to open only if the valve voltage is higher than several volts. The customer has the possibility to change this value. Setting a higher InitStep will result in a faster controller but may lead to overshoots. A lower value will result in a smoother opening behavior but may lead to a slower response. The ideal InitStep depends on input pressure and temperature. Please note,InitStep value is stored in volatile memory and will be reset to the factory value, after the device is unplugged or reset.4.3.2Controller gainThe Controller gain refers to a normalized gain parameter, which is set to 1 by default. This gain factor will be multiplied to the control deviation, which is the difference between desired setpoint and measured value, and a correction of the valve control voltage will be applied depending on this control deviation value. If the customer gain factor is increased, this results in a larger change in the output for a given change in the control deviation.A too low Controller gain value results in a smaller output response to a large input control deviation and therefore to a less responsive / slower controller. On the other hand, if the Controller gain is too high, the system can become unstable: show oscillations and overshoots. As the valve characteristics depend for instance on pressure, the control action may be too strong when responding to system disturbances at high pressures. Therefore, a smaller gain factor may be advisable at higher pressures and the customer has the possibility to tune this parameter according to his conditions and requirements. Please note, that it is also possible to set Controller gain values smaller than 1.5Physical Specification5.1FittingsSFC6000D and SFM6000D are factory-fitted with 6 mm push-in fittings (QSPK18-6). These fittings can be safely removed to reveal Festo QSP-type mounting slot. Any compatible QSP fittings can be installed without impacting the performance of the device.Please note that the supplied QSP push-in fittings are not compatible with O2-rich gasses due to the grease used. To use your device with O2-rich gasses, please replace factory-installed fittings on SFC6000D and SFM6000D with the downmount flange provided. When using third-party fittings, please make sure the substitute is compatible with the gas you want to use.For an example list of compatible fittings and the instructions on how to replace them, please see the application note at Technical download (/sfc6000). Other custom-made fittings are available from Sensirion for OEM projects.5.2Wetted materials & compatibilityTable 3 gives an overview of the materials wetted by the gas. For high volume OEM applications different specialized materials for the body, valve and sealing can be used with sister products, SFC6000 and SFM6000.Table 3. Overview of wetted materials5.3Safety instructions5.3.1Oxygen-rich gasesMost push-in fittings are not compatible with O2-rich gasses (more than 21% O2) due to the grease used. This is also the case with the factory-provided QSP push-in fittings on SFC6000D and SFM6000D. At elevated pressures and temperatures, there is a risk of self-ignition of the grease. To use your device with O2-rich gasses, please use the downmount flanges included or install other O2-compatible fittings.5.3.2Toxic gasesDue to relatively high leakage rate of the push-in connectors, the sensors are not suitable for operation with toxic gasses. If the connectors are replaced, the whole gas assembly must be checked for leakage before applying toxic gas to the device.5.3.3Aggressive or corrosive gasesPlease make sure that the gases used are compatible with the wetted materials listed in this chapter. In case of doubt, please contact Sensirion for further advice. Corrosive gasses can damage the sealing or the CMOSens® chip.5.3.4Flammable gasesSFC6000D and SFM6000D are not designed to be operated with flammable gasses. Please check SFC5xxx and SFM5xxx series to use such gasses.5.4Physical dimensions and mounting informationPhysical dimensions and mounting information are shown below. The flexible cable between the valve and the green housing can add around 4-6 mm to the total height of SFC6000D.It is recommended to mechanically fix the sensor during measurement. This will avoid movement of the device and flow disturbances.The sensor can be mounted from below with PT screws: size K30, max. depth inside housing: 6mm. Alternatively, it can be mounted from the side, using the through-holes. Finally, SFC6000D has two M2.5 mounting slots below the valve – these can be used to fix the sensor from above but require a (temporary) removal of the green cap. Downmount fittings available with SFC6000D and SFM6000D offer additional mounting holes.Figure 7. Physical dimensions and mounting information for SFC6000D with push-in fittings. All units are in [mm].Figure 8. Physical dimensions and mounting information for SFM6000D with push-in fittings. All units are in [mm].Figure 9. Physical dimensions and mounting information for SFC6000D with downmount fittings. All units are in [mm].Figure 10. Physical dimensions and mounting information for SFM6000D with downmount fittings. All units are in [mm].6Flow unitsSFC6000D and SFM6000D are calibrated in “standard units” – namely slm (standard liter per minute). Table 4 lists other common units used for measuring gas flow and a relationship between them. If your device appears to show c.a. 7% error vs your reference, it is possible the reference is displaying flow in “norm” units.Table 4. Common units used to express gas flow rates.Example:10 slm (20°C / 68°F, 1013 mbar) = 9.32 ln/min (0°C, 1013 mbar)7OEM optionsSFC6000D and SFM6000D mass flow controllers / meters are all special versions of Sensirion’s SFC6000 and SFM6000 platform. SFC6000D and SFM6000D were designed as distribution products to meet a wide range of needs. In case SFC6000D or SFM6000D does not meet exactly the requirements for a given application, Sensirion recommends looking at SFC6000 and SFM6000 mass flow controllers / meters, which are available with a variety of configurations (fittings, flow ranges, calibrations, interfaces) and are generally built on order. These devices are available directly from Sensirion with a minimum order quantity (MOQ) of 100 pcs. SFC6000 and SFM6000 also allow for OEM product development in the context of high-volume projects. Possibilities involve different communication interfaces, wetted materials and gas calibrations.8Ordering InformationTable 5. Products in SFC6000D and SFM6000D series and a compatible evaluation kit9Revision HistoryImportant NoticesWarning, Personal InjuryDo not use this product as safety or emergency stop devices or in any other application where failure of the product could result in personal injury. Do not use this product for applications other than its intended and authorized use. Before installing, handling, using or servicing this product, please consult the data sheet and application notes. Failure to comply with these instructions could result in death or serious injury.If the Buyer shall purchase or use SENSIRION products for any unintended or unauthorized application, Buyer shall defend, indemnify and hold harmless SENSIRION and its officers, employees, subsidiaries, affiliates and distributors against all claims, costs, damages and expenses, and reasonable attorney fees arising out of, directly or indirectly, any claim of personal injury or death associated with such unintended or unauthorized use, even if SENSIRION shall be allegedly negligent with respect to the design or the manufacture of the product.ESD PrecautionsThe inherent design of this component causes it to be sensitive to electrostatic discharge (ESD). To prevent ESD-induced damage and/or degradation, take customary and statutory ESD precautions when handling this product. See application note “ESD, Latchup and EMC” for more information.WarrantySENSIRION warrants solely to the original purchaser of this product for a period of 12 months (one year) from the date of delivery that this product s hall be of the quality, material and workmanship defined in SENSIRION’s published specifications of the product. Within such period, if proven to be defective, SENSIRION shall repair and/or replace this product, in SENSIRION’s discretion, free of charge to the Buyer, provided that:•notice in writing describing the defects shall be given to SENSIRION within fourteen (14) days after their appearance; •such defects shall be found, to SENSIRION’s reasonable satisfaction, to have arisen from SENSIRION’s faulty design, material, or workmanship;•the defective product shall be returned to SENSIRION’s factory at the Buyer’s expense; and•the warranty period for any repaired or replaced product shall be limited to the unexpired portion of the original period. This warranty does not apply to any equipment which has not been installed and used within the specifications recommended by SENSIRION for the intended and proper use of the equipment. EXCEPT FOR THE WARRANTIES EXPRESSLY SET FORTH HEREIN, SENSIRION MAKES NO WARRANTIES, EITHER EXPRESS OR IMPLIED, WITH RESPECT TO THE PRODUCT. ANY AND ALL WARRANTIES, INCLUDING WITHOUT LIMITATION, WARRANTIES OF MERCHANTABILITY OR FITNESS FOR A PARTICULAR PURPOSE, ARE EXPRESSLY EXCLUDED AND DECLINED.SENSIRION is only liable for defects of this product arising under the conditions of operation provided for in the data sheet and proper use of the goods. SENSIRION explicitly disclaims all warranties, express or implied, for any period during which the goods are operated or stored not in accordance with the technical specifications.SENSIRION does not assume any liability arising out of any application or use of any product or circuit and specifically disclaims any and all liability, including without limitation consequential or incidental damages. All operating parameters, including without limitation recommended parameters, must be validated for each customer’s applications by customer’s technical experts. Recommended parameters can and do vary in different applications.SENSIRION reserves the right, without further notice, (i) to change the product specifications and/or the information in this document and (ii) to improve reliability, functions and design of this product.Headquarters and SubsidiariesSensirion AG Laubisruetistr. 50CH-8712 Staefa ZH Switzerlandphone: +41 44 306 40 00 fax: +41 44 306 40 30 ****************** Sensirion Inc., USAphone: +1 312 690 5858*********************Sensirion Korea Co. Ltd.phone: +82 31 337 7700~3*********************/kr Sensirion Japan Co. Ltd.phone: +81 45 270 4506*********************/jpSensirion China Co. Ltd.phone: +86 755 8252 1501*********************/cnSensirion Taiwan Co. Ltd phone: +886 2 2218-6779****************** To find your local representative, please visit /distributorsCopyright© 2022, by SENSIRION. CMOSens® is a trademark of Sensirion. All rights reserved。

Microchip电子产品说明书

Microchip电子产品说明书

TREE 3: POWER MANAGEMENT 2Supervisors & Voltage Detectors Unique Strengths (So What)Broad Portfolio(It's likely we have your part)Small Packages: SOT-23 and SC-70 (Saves space)Industrial Standard Crosses (Replace high priced and poor delivery suppliers)Battery Management Unique Strengths (So What)Wide variety of charging solutions for Li-Ion batteries(We have the solution for you)Small SOT-23, MSOP, DFN and QFN packages (Saves space)DC-DC Converter (So What)Low-voltage operation (Saves Power)PFM/PWM Auto switch mode (PFM at low loads reduces current, saves power)Small SOT-23 packaging (Saves space)Step-down, Step-up (Efficiently increase or decrease voltage) Charge Pumps (So What)Low-voltage operation (Battery operation)Small SOT-23 packaging (Saves space)Step-down, Step-up (Efficiently increase or decrease voltage)Doubling & Inverting (Meets V OUT needs) Low-Frequency capable (Reduces EMI)Low-Current Operation (Saves power)LDO Unique Strengths (So What)Hundreds of voltages, currents, packages (We have a match for the need)0.5% V OUT accuracy (Fills precision need)Up to 1.5A output current(Able to power high load applications)Op Amp Unique Strengths (So What)Low current versus GBWP (Saves power)TC and MCP6XXX devices RR-I/O (Expands usable voltage range)MCP604X 1.4V operation(Two alkaline cells 90% used =1.8V)MCP644X, 450 nA operation (Use the batteries even longer)Comparators Unique Strengths (So What)Low current versus propagation delay (Saves power)Integrated Features (Saves space)1.8V and 1.4V operation (That stuff about the batteries)Programmable Gain Amplifier Unique Strengths (So What)MUX inputWide bandwidth (2 to 12 MHz) (Reduces demand on MCU I/O)System control of gain(Changes easier through software configurable hardware)TREE 5: LINEARTemperature Sensor Unique Strengths (So What)Wide variety of solutions: logic, voltage and digital output products(Multiple sensor needs met)Small packages (Saves space)Low operating current(Saves power, smaller supply)Field or factory programmable (Low cost vs. flexibility)Programmable hysteresis (Stop system cycling)Multi-drop capability (Great for large systems)Beta compensation (Compatible with processor substrate diodes)Resistance error correction (Compensates for measurement error from long PCB traces)Fan Controllers Unique Strengths (So What)Closed loop fan control (Adjust to meet target speed even on aging fans)Integrated temperature sensing (Consolidate thermal management)Multiple temperature measurements drive one fan (Consolidate thermal management)Built-in ramp rate control and spin up alogorithm (Quick time to market, lower acoustic noise)Ability to detect/predict failure of less expensive 2-wire fans (Saves system cost)Unique solutions for extending fan life and reducing acoustic noise(Less power, nuisance and long fan life)TREE 6: MIXED-SIGNALADC Unique Strengths (So What)Low current at max sampling rate (Saves power, system cost)Small SOT-23 and MSOP packages (Saves space)Up to 24-bit resolution(Ideal for precision sensitive designs)Differential & single ended inputs (Able to cover various design needs)Up to 6 ADC per device(Save board space, system cost)DAC Unique Strengths (So What)Low Supply Current (Saves power)Low DNL & INL (Better accuracy)Extended Temperature Range(Suitable for wide temperature applications)Digital Potentiometers Unique Strengths (So What)64/256 tap (6-bit to 8-bit resolution)(Sufficient resolution for most applications)Non-volatile Memory(Remembers last wiper setting on power up)WiperLock™ Technology(Locks NV memory setting-better than OTP)Small SOT-23 and 2 × 3 DFN packages (Saves space)Low CostMOSFET Drivers (So What)4.5V up to 30V Supply voltages (Fills many application needs)Up to 12A Peak output current(Able to meet demanding design needs)Outstanding robustness and latch-upi mmunity (Ours work when the others burn up)Low-FOM MOSFETs(Support high-efficency applications)TREE 4: POWER MANAGEMENT 3LIN Unique Strengths(So What)Compliant with LIN Bus Specs 1.3, 2.0, 2.1 andSAE J2602 (Allows for reliable interoperability)High EMI Low EME (Meets OEM requirements)On-board V REG available(Saves space, allows for MCU V CC flexibility)CAN Unique Strengths(So What)Simple SPI CAN controller is an easy way toadd CAN Ports (Short design cycles)High speed transceiver meets ISO-11898 (Drop inreplacement for industry standard transceivers)Low-cost, easy-to-use development tools(Tools easy to buy/use, quick design)I/O Expanders Unique Strengths(So What)Configurable inputs (interrupt configuration flexibility)Interrupt on pin change, or change fromregister default (interrupt source flexibility)Can disable automatic address incrementingwhen accessing the device(allows continual access to the port)The 16-bit devices can operate in 8-bit or 16-bitmode (easy to interface to 8-bit or 16-bit MCUs)IrDA Unique Strengths (So What)IrDA protocol handler embedded on chip(Complex design issue solved)Low cost developer's kit available to assistInfrared design-in (Quick design cycle)Small, cost-effective way of replacing serial links(No more wires)Enables system to wirelessly communicatewith PDA (Wireless connectivity solution) TREE 8: INTERFACEAnalog & InterfaceQuestion TreesAnalog & Interface Development ToolsDemonstration Boards, Evaluation Kits and AccessoriesAnalog & Interface LiteratureADM00313EV: MCP73830L 2 × 2 TDFN Evaluation BoardADM00352: MCP16301 High Voltage Buck Converter 600 mA Demonstration BoardADM00360: MCP16301 High Voltage Buck Coverter 300 mA D2PAK Demonstration BoardADM00427: MCP16323 Evaluation Board (Supports MCP16321 and MCP16322)ARD00386: MCP1640 12V/50 mA Two Cells Input Boost Converter Reference DesignMCP1252DM-BKLT: MCP1252 Charge Pump Backlight Demonstration BoardMCP1256/7/8/9EV: MCP1256/7/8/9 Charge Pump Evaluation BoardMCP1630RD-LIC1: MCP1630 Li-Ion Multi-Bay Battery Charger Reference DesignMCP1630DM-NMC1: MCP1630 NiMH Battery Charger Demonstration BoardMCP1640EV-SBC: MCP1640 Sync Boost Converter Evaluation BoardMCP1640RD-4ABC: MCP1640 Single Quad-A Battery Boost Converter Reference DesignMCP1650DM-LED1: MCP165X 3W White LED Demonstration BoardMCP1726EV: MCP1726 LDO Evaluation BoardMCP73831EV: MCP73831 Evaluation KitMCP7383XEV: MCP73837/8 AC/USB Dual Input Battery Charger Evaluation BoardMCP7383XRD-PPM: MCP7383X Li-Ion System Power Path Management Reference DesignMCP7384XEV: MCP7384X Li-Ion Battery Chager Evaluation BoardMCP73871EV: MCP73871 Load Sharing Li-Ion Battery Charger Evaluation BoardTC1016/17EV: TC1016/17 LDO Evaluation BoardVSUPEV: SOT-23-3 Voltage Supervisor Evaluation BoardPowerManagementThermalManagementMCP9700DM-PCTL: MCP9700 Thermal Sensor PICtail Demonstration BoardMCP9800DM-PCTL: MCP9800 Thermal Sensor PICtail Demonstration BoardTC72DM-PICTL: TC72 Digital Temperature Sensor PICtail Demonstration BoardTC74DEMO: TC74 Serial Daughter Thermal Sensor Demonstration BoardTC1047ADM-PCTL: TC1047A Temperature-to-Voltage Converter PICtail™ Demonstration BoardSerial GPIODM-KPLCD: GPIO Expander Keypad and LCD Demonstration BoardMCP23X17: MCP23X17 16-bit GPIO Expander Evaluation BoardInterface MCP2515DM-BM: MCP2515 CAN Bus Monitor Demonstration BoardMCP2515DM-PTPLS: MCP2515 PICtail™ Plus Daughter BoardMCP2515DM-PCTL: MCP2515 CAN Controller PICtail Demonstration BoardMCP215XDM: MCP215X/40 Data Logger Demonstration BoardMCP2140DM-TMPSNS: MCP2140 IrDA® Wireless Temp Demonstration BoardLinear ADM00375: MCP6H04 Evaluation BoardARD00354: MCP6N11 Wheatstone Bridge Reference DesignMCP651EV-VOS: MCP651 Input Offset Evaluation BoardMCP661DM-LD: MCP661 Line Driver Demo BoardMCP6S22DM-PCTL: MCP6S22 PGA PICtail Demonstration BoardMCP6S2XEV: MCP6S2X PGA Evaluation BoardMCP6SX2DM-PCTLPD: MCP6SX2 PGA Photodiode PICtail Demonstration BoardMCP6SX2DM-PCTLTH: MCP6SX2-PGA Thermistor PICtail Demonstration BoardMCP6V01RD-TCPL: MCP6V01 Thermocouple Auto-Zero Ref DesignMCP6XXXDM-FLTR: Active Filter Demo BoardPIC16F690DM-PCTLHS: Humidity Sensor PICtail Demonstration BoardMixed-Signal MCP3221 DM-PCTL: MCP3221 12-bit A/D PICtail Demonstration BoardMCP3421DM-BFG: MCP3421 Battery Fuel Gauge Demonstration BoardMCP3551DM-PCTL: MCP3551 PICtail Demonstration BoardMCP355XDM-TAS: MCP355X Tiny Application Sensor Demonstration BoardMCP355XDV-MS1: MCP3551 Sensor Demonstration BoardMCP402XEV: MCP402X Digital Potentiometer Evaluation BoardMCP4725EV: MCP4725, 12-bit Non-Volatile DAC Evaluation Board (Preferred One)MCP4725DM-PTPLS: MCP4725, 12-bit Non-Volatile DAC PICtail Demonstration BoardADM00398: MCP3911 ADC Evaluation Board for 16-bit MicrocontrollersCorporate Microchip Product Line Card - DS00890Brochures Analog and Interface Product Selector Guide - DS21060Low Cost Development Tools Solutions Guide - DS51560Analog and Interface Guide (Volume 1) - DS00924Analog and Interface Guide (Volume 2) - DS21975Cards Analog Highlights Card - DS21972Microchip Op Amp Discovery Card - DS21947Analog & Interface Question Trees - DS21728Mirochip SAR and Delta-Sigma ACD Discovery Card - DS22101Software Tools MAPS - Microchip Advanced Product SelectorAnalog & Interface Treelink Products PresentationDesign Guides Analog-to-Digital Converter Design Guide - DS21841Digital Potentiometers Design Guide - DS22017Programmable Gain Amplifiers (PGAs), Operational Amplifiersand Comparators Design Guide - DS21861Interface Products Design Guide - DS21883Signal Chain Design Guide - DS21825Power Solutions Design Guide - DS21913Temperature Sensor Design Guide - DS21895Voltage Supervisors Design Guide - DS51548DS21728JPowerManagementLDO & SwitchingRegulatorsCharge PumpDC/DC ConvertersPower MOSFETDriversPWM ControllersSystem SupervisorsVoltage DetectorsVoltage ReferencesLi-Ion/Li-PolymerBattery ChargersUSB Port PowerControllersMixed-SignalA/D ConverterFamiliesDigitalPotentiometersD/A ConvertersV/F and F/VConvertersEnergyMeasurement ICsCurrent/DC PowerMeasurement ICsInterfaceCAN PeripheralsInfraredPeripheralsLIN TransceiversSerial PeripheralsEthernet ControllersUSB PeripheralLinearOp AmpsInstrumentationAmpsProgrammableGain AmplifiersComparatorsSafety & SecurityPhotoelectricSmoke DetectorsIonization SmokeDetectorsIonization SmokeDetector Front EndsPiezoelectricHorn DriversThermalManagementTemperatureSensorsFan Control& HarwareManagementMotor DriveStepper and DC3Ф BrushlessDC Motor DriverTREE 7: MOTOR DRIVE Stepper Unique Strenghts(So What)Industrial standard footprint(Footprint compatible to industrial leaders)Perfect PIC® MCU companion chip(Solid field support)Micro-stepping ready(Enhanced performance)Integration protections(Simplify software development)3-Phase BLDC Unique Strengths(So What)Full-wave sinusoidal(Quiet operation, low mechanical vibration)Sensorless operation (Minimum externalcomponents, no software required)Thin form factor(Fits space concerned applications)Information subject to change. The Microchip name and logo, the Microchip logo, dsPIC, PIC are registered trademarks and MiWi, PICtail and ZENA are trademarks ofMicrochip Technology Incorporated in the U.S.A. and other countries. All other trademarks mentioned herein are property of their respective companies.© 2012, Microchip Technology Incorporated. All Rights Reserved.。

Nucleus_OS_Brochure

Nucleus_OS_Brochure

Mentor EmbeddedNucleusD A T A S HE E TNucleus highlights:- Power-aware kernelNucleus removes the system and application developer’s burden to define power management for their embedded design by providing a standard interface for drivers and applications to participate in the power policy. Nucleus is capable of automatic discovery and management of power aware com- ponents and includes tick suppression to decrease power consumption. APIs for changing system states, peripheral states, and dynamic voltage frequency scaling (DVFS) are included.- Broad connectivityNucleus tightly integrates over 50 networking protocols, thoroughly tested with industry standardtest suites, ANVL and TAHI, resultingin a validated IPv6 Ready net-working package. Additional con-nectivity features include wiredconnectivity middleware such asUSB 2.0/USB 3.0 and Ethernet, andwireless connectivity middlewareincluding Wi-Fi, Bluetooth, and ZigBee. Nucleus is also tightly integrated with a variety bus support options, such as UART, CAN,I2C, and SPI.- Configuration & methodologyNucleus consists of a single unified source tree that includes all of the Nucleus middleware components. The build and configuration system of Nucleus allows developers to set up their target environment, develop applications rapidly, and start debugging in minutes. Inflexion UIMentor® Embedded Inflexion® enables users to implement compelling and visually rich user interfaces (UIs) with significantly reduced effort, allowing developers to meet the UI demands of today’s embedded devices. Inflexion UI Runtime can be integrated with Nucleus and runs on the target device executing the UI designs exported from Inflexion UI Express. Inflexion UI Runtime can use its own built-in 2D or 2.5D software rendering or OpenGL ES hardware graphics engine for 2D to3D effects. Inflexion UI Express is the PC tool usedto implement a UI through a drag-and-drop ap- proach enabling sophisticated UIs to be created in a matter of days. Used together, Inflexion UI Runtime and UI Express enable the rapid creation and custom-ization of visually rich, highly usable graphical user interfaces (GUIs).More about Mentor EmbeddedThe Mentor Graphics® Embedded Software Division (ESD) comprises the Mentor Embedded™ family of prod-ucts and services, including embedded software IP, tools, and professional consultant services to assist developers and silicon partners optimize their prod-ucts for design and cost efficiency. Mentor Embedded continues to lead the industry with involvement in the open source community (Linux®, Android, and Tizen) and in innovations such as Android beyond mobile handsets, advanced 2D and 3D UI development, open source tools, and multi-OS on multicore architectures.Android is a trademark of Google, Inc. Use of this trademark is subject to Google Permissions. Linux is the registered trademark of Linus Torvalds in the U.S. and other countries.For additional information please visit us at /nucleusCopyright ©2011 Mentor Graphics Corporation. Mentor products and processes are registered and registered trademarks of Mentor Graphics Corporation. All other trademarks mentioned in this document are trademarks of their respective owners.MGC 10-11 1030010。

MEMORY存储芯片MT41J256M16RE-125 D中文规格书

MEMORY存储芯片MT41J256M16RE-125 D中文规格书

Dynamic Voltage and Frequency Scaling(DVFS)9.8.2Voltage Scaling ConsiderationsThe operating voltage of the device must be totally controlled through mechanisms outside the device.I2C ports on the device can be used to communicate with external power management chips.A few things must be noted when changing the operating voltage of the device:•Voltage ramp rate:The ramp rate of the operating voltage must be observed during operating performance point(OPP)transitions.See the device data manual for ramp rate specifications.•Switching to a lower voltage:When switching to a lower voltage,the maximum operating frequency changes.Care must be taken such that the maximum operating frequency supported at the newvoltage is not violated.For this reason,it is recommended to change the operating frequency beforeswitching the operating voltage.9.9Deep Sleep ModeThis device supports a Deep Sleep mode where all device clocks are stopped and the on-chip oscillator is shut down to save power.Registers and memory contents are preserved,thus,upon recovery,theprogram may continue from where it left off with minimal overhead involved.The Deep Sleep mode is initiated when the DEEPSLEEP pin is driven low.The device wakes up from Deep Sleep mode when the DEEPSLEEP pin is driven high.The DEEPSLEEP pin can be driven by an external controller or it can be driven internally by the real-time clock(RTC).The RTC method allows for automatic wake-up at a programmed time.NOTE:Due to pin multiplexing,the DEEPSLEEP pin can only be driven by an external controller orits internal real-time clock(RTC).The DEEPSLEEP pin cannot be driven by both an externalcontroller and its internal real-time clock at the same time.9.9.1Entering/Exiting Deep Sleep Mode Using Externally Controlled Wake-Up9.9.1.1Entering Deep Sleep ModeUse the following procedure to enter the Deep Sleep mode if an external signal is used to wake-up the device:1.To preserve DDR2/mDDR memory contents,activate the self-refresh mode and gate the clocks to theDDR2/mDDR memory controller.You can use partial array self-refresh(PASR)for additional powersavings for mDDR memory.2.The SATA PHY should be disabled(see Section9.10.3).3.The USB2.0(USB0)PHY should be disabled,if this interface is used and internal clocks are selected(see Section9.10.1).4.The USB1.1(USB1)PHY should be disabled,if this interface is used and internal clocks are selected(see Section9.10.1).5.PLL/PLLC0and PLL/PLLC1should be placed in bypass mode(clear the PLLEN bit in the PLL controlregister(PLLCTL)of each PLLC to0).6.PLL/PLLC0and PLL/PLLC1should be powered down(set the PLLPWRDN bit in PLLCTL of eachPLLC to1).7.Configure the DEEPSLEEP pin as input-only using the PINMUX0_31_28bits in the PINMUX0registerin the System Configuration(SYSCFG)Module chapter.8.The external controller should drive the DEEPSLEEP pin high(not in Deep Sleep).9.Configure the desired delay in the SLEEPCOUNT bit field in the deep sleep register(DEEPSLEEP)inthe System Configuration(SYSCFG)Module chapter.This count determines the delay before theDeep Sleep logic releases the clocks to the device during wake up(allowing the oscillator to stabilize).10.Set the SLEEPENABLE bit in DEEPSLEEP to1.This automatically clears the SLEEPCOMPLETE bit.11.Begin polling the SLEEPCOMPLETE bit until it is set to1.This bit is set once the device is woken upfrom Deep Sleep mode.12.The external controller drives the DEEPSLEEP pin low to initiate Deep Sleep mode.Master Priority ControlTable10-1.Master IDs(continued)Master ID Peripheral22-33Reserved34USB2.0CFG35USB2.0DMA36Reserved37HPI38EMAC39USB1.140-65Reserved66uPP67SATA68VPIF DMA069VPIF DMA170-95Reserved96LCDC97-255ReservedTable10-2.Default Master PriorityMaster Default Priority(1)Master Priority RegisterPRU00MSTPRI1PRU10MSTPRI1 EDMA3_0_TC0(2)0MSTPRI1EDMA3_0_TC1(2)0MSTPRI1DSP MDMA(3)2MSTPRI0DSP CFG(3)2MSTPRI0SATA4MSTPRI0uPP4MSTPRI0 EDMA3_1_TC0(2)4MSTPRI1VPIF DMA04MSTPRI1VPIF DMA14MSTPRI1EMAC4MSTPRI2 USB2.0CFG4MSTPRI2USB2.0DMA4MSTPRI2USB1.14MSTPRI2LCDC(4)5MSTPRI2HPI6MSTPRI2(1)The default priority settings might not be optimal for all applications.The master priority should be changed from default basedon application specific requirement,in order to get optimal performance and prioritization for masters moving data that is real time sensitive.(2)The priority for EDMA3_0_TC0,EDMA3_0_TC1,and EDMA3_1_TC0is configurable through fields in the master priority1register(MSTPRI1),not the EDMA3CC QUEPRI register.(3)The priority for DSP MDMA and DSP CFG is controlled by fields in the master priority0register(MSTPRI0)and notDSP.MDMAARBE.PRI(DSP Bandwidth manager module).(4)LCDC traffic is typically real-time sensitive,therefore,the default priority of5,which is lower as compared to the default priority ofseveral masters,is not recommended.You should reconfigure the LCDC priority to the highest or equal to other high-priority masters in an application to ensure that the throughput/latency requirements for the LCDC are met.。

Dynamic voltage and power management by temperatur

Dynamic voltage and power management by temperatur

专利名称:Dynamic voltage and power management bytemperature monitoring发明人:Nancy Chan,Ramesh Senthinathan申请号:US11215918申请日:20050831公开号:US20070045825A1公开日:20070301专利内容由知识产权出版社提供专利附图:摘要:A supply voltage management system and method for an integrated circuit (IC)die are provided. The supply voltage management system includes one or more temperature sensing elements located on the IC die and configured to sensetemperature of the die and to output a sensed temperature value for the die. A dynamic voltage controller is located on the die and is configured to receive the sensed temperature value for the die and to identify a technology process category of the die. Based on the sensed temperature value and the identified technology process category of the die, the dynamic voltage controller adjusts an output voltage to at least one circuit of the die.申请人:Nancy Chan,Ramesh Senthinathan地址:Richmond Hill CA,Richmond Hill CA国籍:CA,CA更多信息请下载全文后查看。

华硕 Model DA1000 电源说明书

华硕 Model DA1000 电源说明书

DECATHLON DA10002.4 Input Current HarmonicsWhen the power supply is operated in 90-264Vac of Sec. 2.1, the input harmonic current drawn on the power line shall not exceed the limits set by EN61000-3-2 class “D” standards.The power supply shall incorporate universal power input with active power factor correction.2.5 AC Line DropoutAn AC line dropout of 15mS or less shall not cause any tripping of control signals or protection circuits.If the AC dropout lasts longer than 15mS the power supply should recover and meet all turn on requirements. The power supply shall meet the regulation requirement over all rated AC voltages, frequencies, and output loading conditions. Any dropout of the AC line shall not cause damage to the power supply. An AC line dropout is defined as a drop in AC line to 0VAC at any phase of the AC line for any length of time. 3. DC Output Specification3.1 Output Current / LoadingThe following tables define two power and current rating. The power supply shall meet both static and dynamic voltage regulation requirements for minimum load condition.Single rail for +12VNote 1: Maximum continuous total DC output power should not exceed 1000 W.3.2 DC Voltage Regulation, Ripple and NoiseThe power supply output voltages must stay within the following voltage limits when operating at steady state and dynamic loading conditions. All outputs are measured with reference to the return remote sense (ReturnS) signal. The +5V,+3.3V, +12V, -12V and +5VSB outputs are measure at the power supply connectors references to ReturnS.The +5V and +3.3V is measured at its remote sense signal (+5VS, +3.3VS) located at the signal connector.023.4 Remote On/Off Control : PSON#The PSON# signal is required to remotely turn on/off the power supply. PSON# is an active low signal that turns on the +5V, +3.3V, +12V and –12V power rails. When this signal is not pulled low by the system, or left open, the outputs (except the +5VSB andV bias) turn off. This signal is pulled to a standby voltage by a pull-up resistor internal to the power supply.3.5 EfficiencyThe efficiency is specified at 50% and 20% loading conditions to help reduce systempower consumption at typical system loading conditions.3.6 +5VSB (Standby)The +5VSB output is always on (+5V Standby) when AC power is applied and power switch is turned on.The +5VSB line is capable of delivering at a maximum of 4A for PC board circuit to operate.4. ProtectionProtection circuits inside the power supply shall cause only the power supply’s main outputs to shutdown. If the power supply latches off due to a protection circuit tripping, either a AC cycle OFF for 15 sec, or PSON# cycle HIGH for 1 sec must be able to restart the power supply.4.1 Over Current ProtectionThis power supply shall have current limit to prevent the +5V, +3.3V, and +12V outputs from exceeding the values shown in table 14. The current limit shall not trip under maximum continuous load or peak loading as described in Table 5. The power supplyshall latch off if the current exceeds the limit. The latch shall be cleared by toggling the PSON# signal or by cycling the AC power. The power supply shall not be damaged from repeated power cycling in this condition. The -12V and +5VSB outputs shall beshorted circuit protected so that no damage can occur to the power supply.05DECATHLON DA1000Table 14 –Over Current protection4.2 Over Voltage ProtectionThe power supply shall shut down in a latch off mode when the output voltage exceeds the over voltage limit shown in Table 4.Table 15 –Over Voltage protection4.3 Short Circuit ProtectionThe power supply shall shut down in a latch off mode when the output voltage is short circuit.5. Environmental Requirements5.1 Temperature5.2 Humidity6. Agency Requirements6.1 Safety Certification.066.2 AC Input Leakage CurrentInput leakage current from line to ground will be less than 3.5mA rms. Measurement will be made at 240 VAC and 60Hz.7. Reliability7.1 Mean Time Between Failures (MTBF)The MTBF of the power supply shall be calculated utilizing the Part-Stress Analysis method of MIL217F or Bell core RPP. The calculated MTBF of the power supply shall be greater than 100,000 hours under the following conditions:Full rated load120V AC inputGround Benign25°CTechnical information in this specification is subject to change without notice.8. Connections8.1 AC Input ConnectorThe AC input connector shall be an IEC 320 C-14 power inlet. This inlet is rated for 15 A/250 VAC.07。

一场难忘的音乐剧英语作文运用侧面

一场难忘的音乐剧英语作文运用侧面

一场难忘的音乐剧英语作文运用侧面全文共3篇示例,供读者参考篇1An Unforgettable Night at the TheaterIt was a crisp autumn evening as I made my way down the sidewalk toward the downtown theater district. The marquee lights beckoned invitingly, advertising the latest touring Broadway musical. I couldn't wait to see the show I had been looking forward to for months.As I neared the theater's entrance, the sidewalk became more congested with other eager attendees. I sidled along the outer edge, trying my best to slip through the crowds unnoticed. My student budget didn't allow for the priciest tickets, so I had settled for a seat in the very last row of the balcony. At least I would be there to experience it live.I inched my way inside, flashing my ticket to the usher who gestured vaguely toward the stairs up to the balcony level. Clutching the railing, I climbed the winding steps, my face flushed from exertion. When I emerged into the balcony seatingarea, I was shocked to find it virtually empty. Only a handful of other patrons had chosen these nosebleed seats.Picking my way sideways between the rows, I located my designated seat. As I plopped down in the weathered chair, I couldn't resist a sidelong glance over the railing to take in the view. The theater's elegant interior opened up before me, with ornate boxes and gilded trim glimmering under the glow of the chandeliers. The plush red seats sloped downward in curved rows toward the vast stage. I felt a tiny pang of envy toward those with premium orchestra seating so close to the action.Just then, the house lights flickered in warning as the conductor made his way to the front of the pit orchestra. A hush fell over the audience. In the shadowy wings, I detected some furtive movement and hushed whispers from the actors awaiting their cues.The overture swelled to life, the soaring violin melodies intertwining with the thunderous percussion like a palpable energy in the air. Swaying slightly to the rhythm, I lost myself in the transcendent beauty of the score. My sideways vantage point seemed to expand my peripheral awareness, allowing me to drink in the full ambiance of the theater.As the first act commenced, the leads took the stage to thunderous applause. Their powerful singing voices filled every corner of the auditorium as they launched into the rousing opening number. Despite my distant perch, I felt transported into the story through the sheer force of the performers' talent and passion.In the scene transitions, I couldn't resist sneaking sidelong glimpses toward the wings. The background players rushed about in calculated chaos, swapping set pieces and costumes with military precision. Seeing the frenetic ballet that facilitated the seamless scene changes gave me a newfound appreciation for the intricate choreography required backstage.Act two opened with a haunting aria, the soloist's voice resonating like a mournful siren song. I was so enraptured that I jumped slightly when the disturbing keen of feedback suddenly pierced the air. A harsh screech of microphone distortion shattered the melancholy spell as the sound crew scrambled to rectify the technical malfunction.For one panicked moment, the illusion was broken. I became acutely aware of my presence as a passive spectator on the sidelines. But just as quickly, the glitchy sound normalized and the performance resumed its transportive magic.Spellbound, I watched in admiring disbelief as the versatile ensemble cast slipped flawlessly between roles both comic and tragic. From my angled perch, I could see the sweat beading on the brows of the dancers as they executed the grueling choreography. The sheer physicality of their efforts was astounding up close.In the show's climactic scene, a dizzying series of traps, levers and hydraulics combined to raise and lower the elaborate set pieces with cinematic flair. Sitting just off to the side, I had an inside look at the peek-a-boo glimpses of the backstage crew operating the intricate machinery. It was like being initiated into the magic society of theatrical secrets.As the act reached its high-soaring crescendo, I found myself caught up in the emotional swell despite the production's inherent artifice. I was struck by how real fiction could feel when rendered by master storytellers and artists wholly invested in their craft.When the players finally fled the stage to yearn for the last bows, the entire audience, myself included, surged to our feet in rapturous ovation. As the echoing cheers filled the lofty chamber, I realized that I had witnessed not just a show, but a living work of radical collaboration between all facets of the production.From the sideways perspective of that off-angle balcony seat, I had seen the peripheral dressing of what was usually hidden wings. I had been made an insider to the high-stakes robust sideshows of the real heavy lifting. And I had glimpsed the relentless off-stage choreography that made the on-stage choreography so seamless.No, the slightly obscured view hadn't allowed me to see every miniscule detail. But by sitting just outside of the classic proscenium perspective, I had experienced a communion with the sideways spirits of the theater. And I had been forever enlightened as to the epic undertaking of manpower and machinery required to work the magic.As I slipped sideways through the exiting crowds, descending the stairs in a bit of an entranced reverie, I felt imbued with a whole new appreciation for the massive scope of talent and effort subsumed by great theatrical productions. All those sidelong glimpses had shown me the sidelong truths.After that night, I could never again watch a show without an awareness of all the sideways facilitators making the illusions possible. From bit players to bravura leads, from sound techs to set designers, theater had revealed itself to me – not as a mere spectacle to passively witness – but as a living, breathingembodiment of radical collaboration in its most transcendent form.篇2An Enchanting Journey Through Music and DramaAs students, we often find ourselves drowning in a sea of assignments, exams, and the relentless pursuit of academic excellence. However, it is in those rare moments of respite that we discover the true beauty of life's simple pleasures. One such experience that has left an indelible mark on my soul was the night I attended a captivating musical play, a night that transported me to a realm where music, acting, and storytelling converged in perfect harmony.It all began with a casual conversation between friends, where one of them mentioned an upcoming production that had garnered rave reviews. Initially, I was hesitant, having never been particularly drawn to the world of theater. However, as the excitement in their voices grew, a spark of curiosity ignited within me, and I decided to step out of my comfort zone and embrace this new adventure.The anticipation built as the night of the performance approached, and when the curtains finally parted, I found myselfenchanted by the vibrant set design and the palpable energy that emanated from the stage. The opening number was a true spectacle, with the performers pouring their hearts and souls into every note and movement, captivating the audience from the very first moment.As the story unfolded, I was transported to a world of whimsical characters, each with their own unique quirks and backstories. The seamless blend of dialogue, music, and choreography created a tapestry of emotions that swept me up in a whirlwind of laughter, tears, and pure joy. The actors' performances were nothing short of mesmerizing, their commitment to their roles so palpable that it was impossible not to become invested in their journeys.One particular scene stands out vividly in my mind, a poignant ballad that tugged at the heartstrings of every member of the audience. The lead actress, her voice soaring with raw emotion, delivered a performance that left me breathless. In that moment, I realized the true power of storytelling through music and drama – the ability to connect with the deepest recesses of the human experience and evoke emotions that words alone often fail to capture.Throughout the play, I found myself marveling at the intricate choreography, the seamless transitions between scenes, and the sheer talent of the ensemble cast. Each performer brought their unique flair to the stage, weaving a tapestry of energy and passion that left me in awe. The live orchestra, with its rich harmonies and dynamic crescendos, added an extra layer of magic to the already spellbinding experience.As the final curtain fell, the thunderous applause that echoed through the theater was a testament to the profound impact the performance had on each and every one of us. In that moment, I realized that the true beauty of the arts lies not only in their ability to entertain but also in their capacity to touch the depths of the human soul, transcending language barriers and cultural divides.In the days and weeks that followed, I found myself constantly reliving the memories of that enchanting night. The melodies would play on a continuous loop in my mind, transporting me back to the moments of pure bliss I had experienced. It was then that I realized the true value of stepping out of one's comfort zone and embracing new experiences, for it is in these moments that we truly grow and expand our horizons.That unforgettable musical play became more than just a night of entertainment; it was a catalyst for self-discovery, a reminder of the boundless power of artistic expression, and a testament to the unifying force of shared experiences. As students, we often find ourselves consumed by the pursuit of academic success, but it is moments like these that remind us of the importance of nurturing our souls and embracing the richness of life's tapestry.In the years to come, I know that the memories of that enchanting night will continue to inspire me, reminding me to seek out the beauty that lies beyond the confines of textbooks and lecture halls. For it is in these moments of artistic transcendence that we truly come alive, our spirits soaring in perfect harmony with the melodies that touch our very souls.篇3An Unforgettable Musical ExperienceAs a high school student, I've always been drawn to the world of theater and musicals. There's something truly magical about the way they blend storytelling, music, and live performance into a captivating experience. However, one particular musical stands out in my mind as an experience I'llnever forget, forever etched into my memories like a masterpiece painted on the canvas of my soul.It was a cool autumn evening when my friends and I eagerly lined up outside the grand theatre, our hearts pounding with anticipation. The buzz of excitement was palpable as fellow theatre enthusiasts gathered, eagerly discussing the show we were about to witness. Little did I know that this night would leave an indelible mark on my appreciation for the art form.The first hint of the magic to come was the theatre itself. As we stepped inside, the grand lobby enveloped us in a warm embrace of opulence and grandeur. Towering ceilings adorned with intricate designs and chandeliers that cast a soft, golden glow set the stage for what was to come. It was as if we had been transported to another era, one where art and elegance reigned supreme.As we found our seats, the velvet curtains parted, revealing a breathtaking set that immediately transported us into the world of the musical. The attention to detail was remarkable, with every prop and backdrop meticulously crafted to create an immersive experience. It was as if the boundaries between reality and fantasy had blurred, inviting us to suspend our disbelief and embrace the enchantment that lay ahead.The opening number was a true spectacle, with the ensemble bursting onto the stage in a whirlwind of vibrant costumes and infectious energy. The harmonies soared, the choreography was flawless, and the actors' performances were so captivating that I found myself leaning forward in my seat, utterly transfixed.But it was the lead actress who truly stole the show. Her voice was like a siren's call, effortlessly navigating the intricate melodies and conveying a depth of emotion that left me in awe. As she poured her heart into every note and lyric, I found myself transported into the story, empathizing with her character's struggles and triumphs as if they were my own.Throughout the performance, I was struck by the seamless integration of music, dialogue, and choreography. Each element complemented the others, creating a cohesive tapestry that wove together the threads of the narrative. The transitions were so smooth that I barely noticed the shift from spoken word to song, and the dance numbers were executed with such precision that they seemed like an extension of the characters themselves.One particular scene stands out vividly in my mind, a poignant ballad that tugged at the heartstrings. As the lead actress poured her soul into the lyrics, the stage was bathed in awarm, amber glow, casting soft shadows that danced across her face. It was a moment of raw vulnerability, where the music stripped away all pretense and laid bare the character's innermost emotions. I found myself fighting back tears, overcome by the sheer power of the performance.As the curtain fell on the final act, thunderous applause erupted from the audience, a standing ovation that seemed to shake the very foundations of the theatre. In that moment, I felt a profound connection with the performers and my fellow audience members, bound together by the shared experience of witnessing something truly extraordinary.Walking out of the theatre that night, I felt as though a part of me had been forever changed. The musical had awakened something deep within me, a newfound appreciation for the profound impact that art can have on the human soul. It was a reminder that theatre is not merely entertainment but a powerful medium that can touch our hearts, challenge our perspectives, and leave us forever transformed.In the days and weeks that followed, I found myself revisiting the melodies and lyrics in my mind, reliving the moments that had left an indelible mark on my psyche. I pored over reviewsand analyses, eager to gain a deeper understanding of the themes and symbolism woven into the fabric of the production.But more than that, the musical sparked within me a desire to be a part of that magic, to contribute my own voice and passion to the world of theatre. I began exploring opportunities to get involved in my school's drama club and local community theatre groups, determined to experience the thrill of live performance firsthand.As I look back on that fateful evening, I'm filled with gratitude for the transformative power of art and the profound impact it can have on our lives. It was a night that reminded me of the beauty and complexity of human emotion, and the ability of music and storytelling to transcend boundaries and connect us on a deeper level.That unforgettable musical will forever hold a special place in my heart, a shining beacon that guides me towards a deeper appreciation for the arts and a lifelong pursuit of the magic that can be found on the stage. It was a night that reminded me that sometimes, the most extraordinary experiences can be found in the most ordinary of places – a darkened theatre, where dreams come alive and the human spirit soars.。

AD650

AD650

Voltage-to-Frequency and Frequency-to-Voltage ConverterAD650Rev. DInformation furnished by Analog Devices is believed to be accurate and reliable. However , no responsibility is assumed by Analog Devices for its use, nor for any infringements of patents or other rights of third parties that may result from its use. Specifications subject to change without notice. No license is granted by implication or otherwise under any patent or patent rights of Analog Devices. T rademarks and registered trademarks are the property of their respective owners.One Technology Way, P.O. Box 9106, Norwood, MA 02062-9106, U.S.A.Tel: 781.329.4700 Fax: 781.461.3113 ©2006 Analog Devices, Inc. All rights reserved.FEATURESV/F conversion to 1 MHzReliable monolithic construction Very low nonlinearity 0.002% typ at 10 kHz 0.005% typ at 100 kHz 0.07% typ at 1 MHzInput offset trimmable to zero CMOS- or TTL-compatibleUnipolar, bipolar, or differential V/F V/F or F/V conversionAvailable in surface mountMIL-STD-883 compliant versions availableFUNCTIONAL BLOCK DIAGRAM00797-001F OUTPUTCOMPARATOR INPUT DIGITAL GNDANALOG GND +V S OFFSET NULL NC ONE SHOT CAPACITOR–V S BIPOLAR CURRENT–IN +IN V OUT OFFSET NULL NC = NO CONNECTFigure 1.PRODUCT DESCRIPTIONThe AD650 V/F/V (voltage-to-frequency or frequency-to-voltageconverter) provides a combination of high frequency operation and low nonlinearity previously unavailable in monolithic form. The inherent monotonicity of the V/F transfer function makes the AD650 useful as a high-resolution analog-to-digital converter. A flexible input configuration allows a wide variety of input voltage and current formats to be used, and an open-collector output with separate digital ground allows simple interfacing to either standard logic families or opto-couplers.The linearity error of the AD650 is typically 20 ppm (0.002% of full scale) and 50 ppm (0.005%) maximum at 10 kHz full scale. This corresponds to approximately 14-bit linearity in an analog-to-digital converter circuit. Higher full-scale frequencies or longer count intervals can be used for higher resolution conversions. The AD650 has a useful dynamic range of six decades allowing extremely high resolution measurements. Even at 1 MHz full scale, linearity is guaranteed less than 1000 ppm (0.1%) on the AD650KN, BD, and SD grades. In addition to analog-to-digital conversion, the AD650 can be used in isolated analog signal transmission applications,phased-locked loop circuits, and precision stepper motor speed controllers. In the F/V mode, the AD650 can be used in precision tachometer and FM demodulator circuits.The input signal range and full-scale output frequency are user-programmable with two external capacitors and one resistor. Input offset voltage can be trimmed to zero with an external potentiometer.The AD650JN and AD650KN are offered in plastic 14-lead DIP packages. The AD650JP is available in a 20-lead plastic leaded chip carrier (PLCC). Both plastic packaged versions of the AD650 are specified for the commercial temperature range (0°C to 70°C). For industrial temperature range (−25°C to +85°C) applications, the AD650AD and AD650BD are offered in ceramic packages. The AD650SD is specified for the full −55°C to +125°C extended temperature range.PRODUCT HIGHLIGHTS1. Can operate at full-scale output frequencies up to 1 MHz(in addition to having very high linearity). 2. Can be configured to accommodate bipolar, unipolar, ordifferential input voltages, or unipolar input currents. 3. TTL or CMOS compatibility is achieved by using an opencollector frequency output. The pull-up resistor can be connected to voltages up to 30 V . 4. The same components used for V/F conversion can also beused for F/V conversion by adding a simple logic biasing network and reconfiguring the AD650. 5. Separate analog and digital grounds prevent ground loopsin real-world applications. 6. Available in versions compliant with MIL-STD-883.AD650Rev. D | Page 2 of 20TABLE OF CONTENTSFeatures..............................................................................................1 Functional Block Diagram..............................................................1 Product Description.........................................................................1 Product Highlights...........................................................................1 Revision History...............................................................................2 Specifications.....................................................................................3 Absolute Maximum Ratings............................................................5 ESD Caution..................................................................................5 Pin Configurations and Function Descriptions...........................6 Circuit Operation.............................................................................7 Unipolar Configuration...............................................................7 Component Selection...................................................................8 Bipolar V/F..................................................................................10 Unipolar V/F, Negative Input Voltage.....................................10 F/V Conversion..........................................................................10 High Frequency Operation.......................................................10 Decoupling and Grounding......................................................12 Temperature Coefficients..........................................................12 Nonlinearity Specification........................................................13 PSRR.............................................................................................14 Other Circuit Considerations...................................................14 Applications.....................................................................................16 Differential Voltage-to-Frequency Conversion......................16 Autozero Circuit.........................................................................16 Phase-Locked Loop F/V Conversion......................................17 Outline Dimensions.......................................................................19 Ordering Guide.. (20)REVISION HISTORY3/06—Rev. C to Rev. DUpdated Format..................................................................Universal Changes to Product Highlights.......................................................1 Changes to Table 1............................................................................3 Added Pin Function Descriptions Table ......................................6 Updated Outline Dimensions.......................................................18 Changes to Ordering Guide. (19)AD650Rev. D | Page 3 of 20SPECIFICATIONST = 25°C, V S = ±15 V , unless otherwise noted. Table 1.AD650J/AD650A AD650K/AD650B AD650SModelMin Typ Max Min Typ Max Min Typ Max Units DYNAMIC PERFORMANCE Full-Scale Frequency Range 1 1 1 MHz Nonlinearity 1f MAX = 10 kHz 0.002 0.005 0.002 0.005 0.002 0.005 % f MAX = 100 kHz 0.005 0.02 0.005 0.02 0.005 0.02 % f MAX = 500 kHz 0.02 0.05 0.02 0.05 0.02 0.05 % f MAX = 1 MHz0.1 0.05 0.1 0.05 0.1 % Full-Scale Calibration Error 2100 kHz ± 5 ± 5 ± 5 % 1 MHz ± 10 ± 10 ± 10 %vs. Supply 3−0.015 +0.015 −0.015 +0.015 −0.015 +0.015% of FSR/V vs. Temperature A, B, and S Grades at 10 kHz ±75 ±75 ±75 ppm/°C at 100 kHz ±150 ±150 ±200 ppm/°C J and K Grades at 10 kHz ±75 ±75 ppm/°C at 100 kHz±150 ±150 ppm/°C BIPOLAR OFFSET CURRENT Activated by 1.24 kΩ Between Pin 4 and Pin 5 0.45 0.50.55 0.45 0.50.55 0.45 0.50.55 mADYNAMIC RESPONSEMaximum Settling Time for Full-Scale Step Input 1 pulse of new frequency plus 1 μs 1 pulse of new frequency plus 1 μs 1 pulse of new frequency plus 1 μs Overload Recovery Time Step Input1 pulse of new frequency plus 1 μs 1 pulse of new frequency plus 1 μs 1 pulse of new frequency plus 1 μsANALOG INPUT AMPLIFIER (V/F CONVERSION) Current Input Range (Figure 4) 0 +0.6 0 +0.6 0 +0.6 mA Voltage Input Range (Figure 12) −10 0 −10 0 −10 0 V Differential Impedance 2 MΩ||10 pF 2 MΩ||10 pF 2 MΩ||10 pF Common-Mode Impedance 1000 MΩ||10 pF 1000 MΩ||10 pF 1000 MΩ||10 pF Input Bias Current Noninverting Input 40 100 40 100 40 100 nA Inverting Input ±8 ±20 ±8 ±20 ±8 ±20 nA Input Offset Voltage (Trimmable to Zero)±4 ±4 ±4 mV vs. Temperature (T MIN to T MAX ) ±30 ±30 ±30 μV/°C Safe Input Voltage±V S ±V S ±V S V COMPARATOR (F/V CONVERSION) Logic 0 Level −V S −1 −V S −1 −V S −1 V Logic 1 Level0 +V S 0 +V S 0 +V S V Pulse Width Range 4 0.1 (0.3 × t OS ) 0.1 (0.3 × t OS ) 0.1 (0.3 × t OS ) μs Input Impedance250 250 250 kΩ OPEN COLLECTOR OUTPUT (V/F CONVERSION)Output Voltage in Logic 0 I SINK ≤ 8 mA, T MIN to T MAX0.4 0.4 0.4 V Output Leakage Current in Logic 1 100 100 100 nA Voltage Range 5 0 36 0 36 0 36 VAD650Rev. D | Page 4 of 20AD650J/AD650A AD650K/AD650B AD650S Model Min Typ Max Min Typ Max Min Typ Max Units AMPLIFIER OUTPUT (F/V CONVERSION) Voltage Range(1500 Ω Min Load Resistance) 0 10 0 10 0 10 V Source Current(750 Ω Max Load Resistance) 10 10 10 mA Capacitive Load(Without Oscillation) 100 100 100 pF POWER SUPPLY Voltage, Rated Performance ±9 ±18 ±9 ±18 ±9 ±18 V Quiescent Current 8 8 8 mA TEMPERATURE RANGE Rated Performance N Package 0 +70 0 +70 °C D Package −25 +85 −25 +85 −55 +125 °C1 Nonlinearity is defined as deviation from a straight line from zero to full scale, expressed as a fraction of full scale. 2Full-scale calibration error adjustable to zero. 3Measured at full-scale output frequency of 100 kHz. 4Refer to F/V conversion section of the text. 5Referred to digital ground.Specifications shown in boldface are tested on all production units at final electrical test. Results from those tests are used to calculate outgoing quality levels. All min and max specifications are guaranteed, although only those shown in boldface are tested on all production units.AD650Rev. D | Page 5 of 20ABSOLUTE MAXIMUM RATINGSParameter Rating Total Supply Voltage 36 V Storage Temperature Range −55°C to +150°C Differential Input Voltage ±10 V Maximum Input Voltage ±V S Open Collector Output Voltage Above Digital GND 36 V Current 50 mA Amplifier Short Circuit to Ground Indefinite Comparator Input Voltage ±V SStresses above those listed under Absolute Maximum Ratings may cause permanent damage to the device. This is a stress rating only; functional operation of the device at these or any other conditions above those indicated in the operational section of this specification is not implied. Exposure to absolutemaximum rating conditions for extended periods may affectdevice reliability.ESD CAUTIONESD (electrostatic discharge) sensitive device. Electrostatic charges as high as 4000 V readily accumulate on the human body and test equipment and can discharge without detection. Although this product features proprietary ESD protection circuitry, permanent damage may occur on devices subjected to high energy electrostatic discharges. Therefore, proper ESD precautions are recommended to avoid performancedegradation or loss of functionality.AD650Rev. D | Page 6 of 20PIN CONFIGURATIONS AND FUNCTION DESCRIPTIONSV OUTCURRENTOFFSET NULLOFFSET NULL+V SANALOG GND –V SDIGITAL GNDCAPACITORCOMPARATOR INPUT NCF OUTPUT NC = NO CONNECT00797-010Figure 2. D-14, N-14 Pin ConfigurationsNC = NO CONNECT–INNC BIPOLAR OFFSET CURRENTNC –V S +V S NCANALOG GND NCDIGITAL GND+I NV O U TN C O F F S E T N U L L O F F S E T N U L LO N E S H O C A P A C I T O R N CN CF O U T P U TC O M P A R A T O R I N P U T00797-011Figure 3. P-20A Pin ConfigurationTable 2. Pin Function DescriptionsPin No.D-14, N-14 P-20A Mnemonic Description1 2 V OUTOutput of Operational Amplifier. The operational amplifier, along with C INT , is used in the integrate stage of the V to F conversion. 2 3 +IN Positive Analog Input. 3 4 –INNegative Analog Input.4 6 BIPOLAR OFFSET CURRENT On-Chip Current Source. This can be used in conjunction with an external resistor to remove the operational amplifier’s offset.5 8 –V SNegative Power Supply Input.6 9ONE-SHOT CAPACITOR The Capacitor, C OS , is Connected to This Pin. C OS determines the time period for the one shot. 7 1, 5, 7, 10, 11, 15, 17 NC No Connect.8 12 F OUTPUTFrequency Output from AD650.9 13 COMPARATOR INPUT Input to Comparator. When the input voltage reaches −0.6 V, the one shot is triggered.10 14 DIGITAL GND Digital Ground. 11 16 ANALOG GND Analog Ground.12 18 +V SPositive Power Supply Input.13, 1419, 20OFFSET NULLOffset Null Pins. Using an external potentiometer, the offset of the operational amplifier can be removed.AD650Rev. D | Page 7 of 20CIRCUIT OPERATIONUNIPOLAR CONFIGURATIONThe AD650 is a charge balance voltage-to-frequency converter. In the connection diagram shown in Figure 4, or the block diagram of Figure 5, the input signal is converted into an equivalent current by the input resistance R IN . This current is exactly balanced by an internal feedback current delivered in short, timed bursts from the switched 1 mA internal current source. These bursts of current can be thought of as precisely defined packets of charge. The required number of charge packets, each producing one pulse of the output transistor, depends upon the amplitude of the input signal. Because the number of charge packets delivered per unit time is dependent on the input signal amplitude, a linear voltage-to-frequency transformation is accomplished. The frequency output is furnished via an open collector transistor.A more rigorous analysis demonstrates how the charge balance voltage-to-frequency conversion takes place.A block diagram of the device arranged as a V-to-F converter is shown in Figure 5. The unit is comprised of an input integrator, a current source and steering switch, a comparator, and a one shot. When the output of the one shot is low, the currentsteering switch S 1 diverts all the current to the output of the op amp; this is called the integration period. When the one shot has been triggered and its output is high, the switch S 1 diverts all the current to the summing junction of the op amp; this is called the reset period. The two different states are shown in Figure 6 and Figure 7 along with the various branch currents. It should be noted that the output current from the op amp is the same for either state, thus minimizing transients.00797-003–15VOUTLOGICV INFigure 4. Connection Diagram for V/F Conversion, Positive Input Voltage007S+–CFigure 5. Block Diagram00797-005–V SFigure 6. Reset Mode00797-006–V SFigure 7. Integrate Mode00797-007tFigure 8. Voltage Across C INTAD650Rev. D | Page 8 of 20The positive input voltage develops a current (I IN = V IN /R IN ) that charges the integrator capacitor C INT . As charge builds up on C INT , the output voltage of the integrator ramps downward towards ground. When the integrator output voltage (Pin 1) crosses the comparator threshold (–0.6 V) the comparator triggers the one shot, whose time period, t OS is determined by the one-shot capacitor C OS .Specifically, the one-shot time period issec 100.3F /sec 108.673−×+××=OS OS C t (1)The reset period is initiated as soon as the integrator output voltage crosses the comparator threshold, and the integrator ramps upward by an amount(IN INTOS OS I C )t dt dV t V −=×=ΔmA 1 (2)After the reset period has ended, the device starts anotherintegration period, as shown in Figure 8, and starts rampingdownward again. The amount of time required to reach thecomparator threshold is given as()⎟⎟⎠⎞⎜⎜⎝⎛−=−=Δ=1mA 1mA 11IN OS INT N IN INT OSI t C I I C t dt dV VT (3) The output frequency is now given as FC R V A F t I T t f OS IN IN OS IN OS OUT 1104.4/Hz15.0mA11×+×=×=+= (4) Note that C INT , the integration capacitor, has no effect on the transfer relation, but merely determines the amplitude of the sawtooth signal out of the integrator.One-Shot TimingA key part of the preceding analysis is the one-shot time period given in Equation 1. This time period can be broken down into approximately 300 ns of propagation delay and a second time segment dependent linearly on timing capacitor C OS . When the one shot is triggered, a voltage switch that holds Pin 6 at analog ground is opened, allowing that voltage to change. An internal 0.5 mA current source connected to Pin 6 then draws its current out of C OS , causing the voltage at Pin 6 to decrease linearly. At approximately –3.4 V , the one shot resets itself, thereby ending the timed period and starting the V/Fconversion cycle over again. The total one-shot time period can be written mathematically asDELAY GATE DISCHARGE OS OS T I C V t +Δ= (5) substituting actual values quoted in Equation 5, sec 10300A105.0V 4.393−−×+×−×−=OS OS C t (6)This simplifies into the timed period equation (see Equation 1).COMPONENT SELECTIONOnly four component values must be selected by the user. These are input resistance R IN , timing capacitor C OS , logic resistor R2, and integration capacitor C INT . The first two determine the input voltage and full-scale frequency, while the last two aredetermined by other circuit considerations.Of the four components to be selected, R2 is the easiest to define. As a pull-up resistor, it should be chosen to limit the current through the output transistor to 8 mA if a TTLmaximum V OL of 0.4 V is desired. For example, if a 5 V logicsupply is used, R2 should be no smaller than 5 V/8 mA or 625 Ω. A larger value can be used if desired.R IN and C OS are the only two parameters available to set the full- scale frequency to accommodate the given signal range. The swing variable that is affected by the choice of R IN and C OS is nonlinearity. The selection guides of Figure 9 and Figure 10 show this quitegraphically. In general, larger values of C OS and lower full-scale input currents (higher values of R IN ) provide better linearity. In Figure 10, the implications of four different choices of R IN are shown. Although the selection guide is set up for a unipolarconfiguration with a 0 V to 10 V input signal range, the resultscan be extended to other configurations and input signal ranges.For a full-scale frequency of 100 kHz (corresponding to 10 Vinput), among the available choices R IN = 20 kΩ and C OS = 620 pF gives the lowest nonlinearity, 0.0038%. In addition, the highest frequency that gives the 20 ppm minimum nonlinearity isapproximately 33 kHz (40.2 kΩ and 1000 pF). For input signal spans other than 10 V , the input resistance must be scaled proportionately. For example, if 100 kΩ is called out for a 0 V to 10 V span, 10 kΩ would be used with a 0 V to 1 V span, or 200 kΩ with a ±10 V bipolar connection.The last component to be selected is the integration capacitor C INT . In almost all cases, the best value for C INT can be calculated using the equation(minimum pF 1000sec/104)MAXINT f F C −=(7)When the proper value for C INT is used, the charge balance architecture of the AD650 provides continuous integration of the input signal, therefore, large amounts of noise and interference can be rejected. If the output frequency ismeasured by counting pulses during a constant gate period, the integration provides infinite normal-mode rejection forfrequencies corresponding to the gate period and its harmonics. However, if the integrator stage becomes saturated by anexcessively large noise pulse, then the continuous integration ofthe signal is interrupted, allowing the noise to appear at the output.AD650Rev. D | Page 9 of 20If the approximate amount of noise that appears on C INT is known (V NOISE ), then the value of C INT can be checked using the following inequality:NOISES OS INT V V A t C −−+××>−V 31013For example, consider an application calling for a maximum frequency of 75 kHz, a 0 V to 1 V signal range, and supplyvoltages of only ±9 V . The component selection guide of Figure 9 is used to select 2.0 kΩ for R IN and 1000 pF for C OS . This results in a one-shot time period of approximately 7 μs. Substituting 75 kHz into Equation 7 yields a value of 1300 pF for C INT . When the input signal is near zero, 1 mA flows through the integration capacitor to the switched current sink during the reset phase, causing the voltage across C INT to increase by approximately 5.5 V . Because the integrator output stage requires approximately 3 V headroom for proper operation, only 0.5 V margin remains for integrating extraneous noise on the signal line. A negative noise pulse at this time could saturate the integrator, causing an error in signal integration. Increasing C INT to 1500 pF or 2000 pF provides much more noise margin, thereby eliminating this potential trouble spot.1MHz100kHz10kHz501001000F R E Q U E N C Y F U L L -S C A L EC OS (pF)00797-008Figure 9. Full-Scale Frequency vs. C OS10020501001000T Y P I C A L N O N L I N E A R I T Y (p p m )C OS (pF)100000797-009Figure 10. Typical Nonlinearity vs. C OSAD650Rev. D | Page 10 of 20BIPOLAR V/FFigure 11 shows how the internal bipolar current sink is used to provide a half-scale offset for a ±5 V signal range, while providing a 100 kHz maximum output frequency. The nominally 0.5 mA (±10%) offset current sink is enabled when a 1.24 kΩ resistor is connected between Pin 4 and Pin 5. Thus, with the grounded 10 kΩ nominal resistance shown, a −5 V offset is developed at Pin 2. Because Pin 3 must also be at −5 V , the current through R IN is 10 V/40 kΩ = +0.25 mA at V IN = +5 V , and 0 mA at V IN = –5 V . Components are selected using the same guidelines outlined for the unipolar configuration with one alteration. The voltage across the total signal range must be equated to the maximum input voltage in the unipolar configuration. In other words, the value of the input resistor R IN is determined by the input voltage span, not the maximum input voltage. A diode from Pin 1 to ground is also recommended. This is further discussed in the Other Circuit Considerations section.As in the unipolar circuit, R IN and C OS must have low temperature coefficients to minimize the overall gain drift. The 1.24 kΩ resistor used to activate the 0.5 mA offset current should also have a low temperature coefficient. The bipolar offset current has a temperature coefficient of approximately −200 ppm/°C.UNIPOLAR V/F, NEGATIVE INPUT VOLTAGEFigure 12 shows the connection diagram for V/F conversion of negative input voltages. In this configuration, full-scale output frequency occurs at negative full-scale input, and zero output frequency corresponds with zero input voltage.A very high impedance signal source can be used because it only drives the noninverting integrator input. Typical input impedance at this terminal is 1 GΩ or higher. For V/F conversion of positive input signals using the connection diagram of Figure 4, the signal generator must be able to source the integration current to drive the AD650. For the negative V/F conversion circuit of Figure 12, the integration current is drawn from ground through R1 and R3, and the active input is high impedance. Circuit operation for negative input voltages is very similar to positive input unipolar conversion described in the Unipolar Configuration section. For best operating results use Equation 7 and Equation 8 in the Component Selection section.F/V CONVERSIONThe AD650 also makes a very linear frequency-to-voltage converter. Figure 13 shows the connection diagram for F/V conversion with TTL input logic levels. Each time the input signal crosses the comparator threshold going negative, the one shot is activated and switches 1 mA into the integrator input for a measured time period (determined by C OS ). As the frequency increases, the amount of charge injected into the integration capacitor increases proportionately. The voltage across the integration capacitor is stabilized when the leakage current through R1 and R3 equals the average current being switched into the integrator. The net result of these two effects is an average output voltage that is proportional to the inputfrequency. Optimum performance can be obtained by selecting components using the same guidelines and equations listed in the Bipolar V/F section.For a more complete description of this application, refer to Analog Devices’ Application Note AN-279.HIGH FREQUENCY OPERATIONProper RF techniques must be observed when operating the AD650 at or near its maximum frequency of 1 MHz. Lead lengths must be kept as short as possible, especially on the one shot and integration capacitors, and at the integrator summing junction. In addition, at maximum output frequencies above 500 kHz, a 3.6 kΩ pull-down resistor from Pin 1 to −V S isrequired (see Figure 14). The additional current drawn through the pulldown resistor reduces the op amp’s output impedance and improves its transient response.00797-012V ±5V+15VF OUTFigure 11. Connections for ±5 V Bipolar V/F with 0 kHz to 100 kHz TTL Output00797-013+15VLOGICF OUTFigure 12. Connection Diagram for V/F Conversion, Negative Input Voltage00797-014–15VINFigure 13. Connection Diagram for F/V Conversion00797-015+15VOUTVFigure 14. 1 MHz V/F Connection DiagramDECOUPLING AND GROUNDINGIt is effective engineering practice to use bypass capacitors on the supply-voltage pins and to insert small-valued resistors (10 Ω to 100 Ω) in the supply lines to provide a measure of decoupling between the various circuits in a system. Ceramic capacitors of 0.1 μF to 1.0 μF should be applied between the supply-voltage pins and analog signal ground for proper bypassing on the AD650.In addition, a larger board level decoupling capacitor of 1 μF to 10 μF should be located relatively close to the AD650 on each power supply line. Such precautions are imperative in high resolution, data acquisition applications where users expect to exploit the full linearity and dynamic range of the AD650. Although some types of circuits can operate satisfactorily with power supply decoupling at only one location on each circuit board, such practice is strongly discouraged in high accuracy analog design.Separate digital and analog grounds are provided on theAD650. The emitter of the open collector frequency output transistor is the only node returned to the digital ground. All other signals are referred to analog ground. The purpose of the two separate grounds is to allow isolation between the high precision analog signals and the digital section of the circuitry. As much as several hundred millivolts of noise can be tolerated on the digital ground without affecting the accuracy of the VFC. Such ground noise is inevitable when switching the large currents associated with the frequency output signal.At 1 MHz full scale, it is necessary to use a pull-up resistor of about 500 Ω in order to get the rise time fast enough to provide well defined output pulses. This means that from a 5 V logic supply, for example, the open collector output draws 10 mA. This much current being switched causes ringing on long ground runs due to the self-inductance of the wires. For instance, 20 gauge wire has an inductance of about 20 nH per inch; a current of 10 mA being switched in 50 ns at the end of 12 inches of 20 gauge wire produces a voltage spike of 50 mV. The separate digital ground of the AD650 easily handles these types of switching transients.A problem remains from interference caused by radiation of electromagnetic energy from these fast transients. Typically, a voltage spike is produced by inductive switching transients; these spikes can capacitively couple into other sections of the circuit. Another problem is ringing of ground lines and power supply lines due to the distributed capacitance and inductance of the wires. Such ringing can also couple interference into sensitive analog circuits. The best solution to these problems is proper bypassing of the logic supply at the AD650 package. A 1 μF to 10 μF tantalum capacitor should be connected directly to the supply side of the pull-up resistor and to the digital ground (Pin 10). The pull-up resistor should be connected directly to the frequency output (Pin 8). The lead lengths on the bypass capacitor and the pull-up resistor should be as short as possible. The capacitor supplies (or absorbs) the current transients, and large ac signals flows in a physically small loop through the capacitor, pull-up resistor, and frequency output transistor. It is important that the loop be physically small for two reasons: first, there is less self-inductance if the wires are short, and second, the loop does not radiate RFI efficiently. The digital ground (Pin 10) should be separately connected to the power supply ground. Note that the leads to the digital power supply are only carrying dc current and cannot radiate RFI. There can also be a dc ground drop due to the difference in currents returned on the analog and digital grounds. This does not cause any problem. In fact, the AD650 tolerates as much as 0.25 V dc potential difference between the analog and digital grounds. These features greatly ease power distribution and ground management in large systems. Proper technique for grounding requires separate digital and analog ground returns to the power supply. Also, the signal ground must be referred directly to analog ground (Pin 11) at the package. All of the signal grounds should be tied directly to Pin 11, especially the one-shot capacitor. More information on proper grounding and reduction of interference can be found in “Noise Reduction Techniques in Electronic Systems, 2nd edition” by Henry W. Ott, (John Wiley & Sons, Inc., 1988).TEMPERATURE COEFFICIENTSThe drift specifications of the AD650 do not include temperature effects of any of the supporting resistors or capacitors. The drift of the input resistors R1 and R3 and the timing capacitor C OS directly affect the overall temperature stability. In the application of Figure 5, a 10 ppm/°C input resistor used with a 100 ppm/°C capacitor can result in a maximum overall circuit gain drift of:150 ppm/°C (AD650A) + 100 ppm/°C (C OS)+ 10 ppm/°C (R IN) = 260 ppm/°CIn bipolar configuration, the drift of the 1.24 kΩ resistor used to activate the internal bipolar offset current source directly affects the value of this current. This resistor should be matched to the resistor connected to the op amp noninverting input, Pin 2 (see Figure 11). That is, the temperature coefficients of these two resistors should be equal. If this is the case, then the effects of the temperature coefficients of the resistors cancel each other, and the drift of the offset voltage developed at the op amp noninverting input is solely determined by the AD650. Under these conditions, the TC of the bipolar offset voltage is typically −200 ppm/°C and is a maximum of −300 ppm/°C. The offset voltage always decreases in magnitude as temperature is increased.。

行走之礼英文作文

行走之礼英文作文

行走之礼英文作文Walking etiquette is an important aspect of our daily lives. It is crucial to be aware of our surroundings and considerate of others while walking. Here are some thoughts on walking etiquette:1. Be mindful of your pace. Walk at a comfortable speed that allows you to maintain a steady rhythm. Avoid walking too fast or too slow, as it can disrupt the flow of pedestrian traffic.2. Use your peripheral vision. It's essential to be aware of what's happening around you while walking. Keep an eye out for other pedestrians, cyclists, or any potential obstacles that may require you to adjust your path.3. Be respectful of personal space. Give others enough room to walk comfortably without feeling crowded. Avoid walking too close behind someone or invading their personal space, as it can make them feel uncomfortable.4. Stay to the right. Just like driving, it's a good practice to stay on the right side of the sidewalk or pathway. This allows others to pass you easily, especially in crowded areas.5. Avoid sudden stops or changes in direction. If you need to stop or change your direction, be sure to signal your intentions in advance. This will give others a chance to react and adjust their movements accordingly.6. Be aware of your surroundings. Pay attention to traffic signals, crosswalks, and other signs while walking. This will help you navigate safely and avoid any potential accidents.7. Keep your phone usage to a minimum. It's easy to get distracted by our phones while walking, but it's essential to stay focused on our surroundings. Limit phone usage to emergencies or when you're in a safe and stationary position.8. Be courteous to others. If you accidentally bumpinto someone or get in someone's way, apologize and move aside. Small acts of kindness and consideration go a long way in creating a pleasant walking experience for everyone.9. Clean up after your pets. If you're walking a dog, be responsible for cleaning up after them. Carry waste bags and dispose of them properly to keep the sidewalks clean and hygienic for others.10. Smile and acknowledge others. A simple smile or nod can go a long way in creating a friendly atmosphere while walking. Acknowledge others and be open to small interactions, such as holding doors or offering assistance when needed.In conclusion, walking etiquette is all about being aware of our surroundings, respecting others, and maintaining a considerate attitude. By following these guidelines, we can contribute to a harmonious walking environment for everyone.。

高级商务英语1复习资料.doc

高级商务英语1复习资料.doc

高级商务英语1复习资料.docUnit l:The Empire Strikes back1. "When you want to create a climate and culture of hyper-growth, you really need to live and breathe emerging markets.”When you want to create a climate or culture of a super fast growing organization, you really need to come to emerging markets and feel in person what it is like living and working there.2. which brings together all of Big Blue's operations outside North America and westernEurope, which brings all of IBM's operations (units) outside North America and western Europe underits leadership.3. Latin America now reports to Shanghai.now under the leadership of Shanghai.4. based on the right cost, the right skills and the right business environmentif the cost is relatively low, the skills are up to standard, and the business environment is favorable 5. horizontally and globally It can pool all human resources of IBM and allocate them in an optimal way among business units across the world?6. Why IBM relatively painless sell PC department?When Lenovo, a Chinese PC company, acquired the business division of IBM, a global giant of the USA, there was no loss of face or national disgrace involved in this because it was considered nothing but an exchange of commodities?7. hot labour markets in emerging markets are causing extremely high turnover rates.the booming labour markets in emerging markets are causing fast flow of talents from one firm to another.8.IBM reckons that its global reach gives it an edge in recruitment and retention over local rivals.its global expansion helps it maintain a competitive advantage over local competitors in terms of the talents it hires and the longer time it keeps the talents9?thanks to an infrastructure boom that promises to span everythingdue to fast growth in the infrastructure construction business, which is estimated to cover every possible project from..?lO.There is still a striking lack of executives from emerging markets at the top of developed-country multinationals There are still very few local executives who can climb to the top management of developed-co un try multi nationals.11 ?the breadth and depth of management talentbreath=varied and divers讦ied management talentsdepth=experieneed and seasoned management talentsHead of strategy:?略发展总监hyper-growth:super fast growth Emerging market:新市场growth markets:成长型市场;增长型市Big blue:nickname for IBM 国际商业机器公Perennial:Iong-lasting; recurring; enduringline business: An LOB (line-of-business) is a general term thatdescribes the products or services offered by a business or manufacturer. In some large enterprise cultures, the term line-of-business (LOB) is used as a synonym for corporate division.cutting-edge: leading-edge; sophisticated; hi-techCommoditised: con verted into a commodityOutsourci ng:夕卜包The contracti ng or subc on tracti ng of non core activities to free up cash, personnel, time, and facilities for activities in which a company holds competitive advantage.Compa nies havi ng stre ngths in other areas may con tract out data processi ng, legal, manufacturing, marketing, payroll accounting, or other aspects of their businesses to concentrate on what they do best and thus reduce average unit cost. Outsourcing is often an integral part of downsizing or reengineering. Also called contracting out?承包Upstarts:firms that have risen suddenly to a position of power or wealth 新贵Pools: group of people available for work when required nJ 招ZR卩来的——些人:a pool of doctors available for emergency work为应付紧急悄况而待命的一些医生Tur no ver rate: A huma n resources metric which expresses the number of employees lost through firing, attrition and other means compared to the total number of employees in the company.人员流动率chief procurement officer: A chief procurement officer (CPO) is an executive role focused on sourcing, procurement, and supply management for an enterprise?首席采购官sales pitch:推销游说Pitchi ng: trying directly to persuade governments to buy this business or to make a deal with governments for this businessBlueprint: a set of proposals/plans expatriate managers;expat managers:海夕卜派遣经理at short notice:with notification only a little in advance bottom-of-the-pyramid: An economic term referring to the largest but the poorest socio-economic group constituting more than 2.5 billion people that live on less than $2.50 a day?金字塔底层executive suites:The term ”executive suites'1 referred tothe suite of offices on or near the top floor of a skyscraper where the top executives of a company work, usually in eluding at least the president or chief executive officer, various vice presidents and their staff?行政套房Fbach:legally catch 猎取Unit 2:The Harry Potter Economy1 ?“the tip of a publishing iceberg”constituting the smallest proportion of total sales generated by the publishing house2. there was no point bidding against the firm for a childrenf s titleIt was impossible to beat the firm in the com pet it io n for the market of children's books ?3. an article in the New York Times asked "Harry who?Two new adventure stories are now in the swim: the Greek myths, and the Arthurian legends. The Harry Fbtter model is out of fashion.4. The project appeared “too British for the studios but too big to be a British productio nThe movies appeared "too British for the American studios to man age well, but they are also too expensive to be produced solely in UK.”5. her “worst nightmare" was that her hero would end up on the side of fast-food contai nersthe image of her hero (Harry Fitter) would be printed on the side of fast-food containers, which Rowling thought would impact negatively the image of her hero.6. Given the rise of digital media and piracy, Harry Potter may be seen as a high-water mark in the industry?no film other than Harry Potter can make such remarkable achievements in the film industry, especially in box officeperforms nee.Division:Alternative term for business unit.部门market-testing:I1J场测试;销住实验;市场定位技术snowball effect:滚雪球效应;滚雪球似地迅速增人的效应;雪球效果Turnover:W业额,成交量Revenues: money that a business or organization receives over a period of time, especially from selling goods or services (income)Outfit:a business firm engaged in a particular form of commercial enterprisePlindits:a person who knows a lot about a particular subject and who often talks about it in public; an expertrevenue streams:收益源;盈利源A company's revenue stream is the amount of money that it receives from selling a particular product or service?(BUSINESS)The events business, she said, was crucial to the group in that it provides a constant revenue streamBlitz:an advertising or publicity blitz is a major effort to make the public aware of something. On December 8 the media blitz began in earnest.master toy licence:原版使用许可;主许可执照Unit 4:Silicon Valley visionary who put Apple on top1. ground?breaking productIn the hi-tech industry, people had bee n guessi ng about for mon ths what Apple's latest revolutionary product would be before it was debuted?2. there are no second acts in American lifeNo second act means you get one shot at the brass ring of success and even if you manage to grab it, life inevitably goesdownhill to a tragic anti-climax.It seems 99% of pers ons get this wrong, con sidering that it's always quoted in the context of someone or another f s re-emergence on the scene after going into an eclipse? Ftzgerald did NOT mean there are no second chances in American life-but that American lives tend not to have middle acts, when the fruits of our early labors can be appreciated, before going into the inevitable decline of old age?3. there are no second acts in American life does not come more decisively than this.There is nothing more definitely challenging E Scott Fitzgerald's much-quoted witticism that there are no second acts in American life than the act of Steve Jobs whose successful comeback had proved Fitzgerald wrong.4.0f all the fingers that Apple has poked into Microsoft' s eyes over the years, none can have rankled as much as the early success of the iPad.Of all the blows that Apple has dealt to Microsoft over the years, the early success of the iPad has inflicted the most pain to Microsoft.5.it points to a future beyond the computer mouseit points to a trend where the computer mouse is no longera must-have peripheral.6.and a world without Windows?and a computer world no Ion ger dominated by Windows because Apple's Macin tosh has come onto the stage. (I OS vs. Android)7.Sales of cheaper notebook computers are already suffering.The sales of cheaper notebook computers are already beginning to decline? The number of consumers buying Apple'siPad or upscale, higher-priced Mac computers is on the rise/increase.8. a company that has drawn on the openness of the web itself as the model for its own smartphone software.a company whose Android system for smartphones is based on the open Linux kernel and that advocates that the software for smartphones shall not be restricted on the open platform.9. The sophistication of his touch-screen devices is a long way from Mr. Job" s rudimentary start in the garage of his adoptive parents in suburban northern California ?It took Mr. Jobs a long long time to develop his highly sophisticated touch-screen devices, beginning with some simple devices in the garage of his adoptive parents in the suburban northern California?I 0.There was little i n Mr. Jobs* beg innings that gave a hin t of what was to come ?Nothing in Mr. Jobs1 humble beginnings suggested that he would be so successful in the years to come.II ?Mr. Jobs' spiritual aspirations left him with little use for either shoes or soap 6 for long periodSoBack from his spiritual pursuit in India, Mr. Jobs did not wear shoes or take a shower for a long time, which made his co-workers extremely worried?12. That means pushing relentlessly forward rather than milking old successes - even ones as significant as the iPod?That means he pushes forward an innovative project persistently and unyieldingly rather than dwelling/resting on old successes; he is even not satisfied with achievements as significant as the iPod? 13.Others now have Apple in their sights, forcing Mr. Jobs into the competitive moves that would oncehave seemed out of character.Others now see Apple as their target to pursue (enemy to conquer), which forced Mr. Jobs to take countermeasures so as to gain competitive advantages over his rivals, but this seemed quite unusual for Mr. Jobs for he was often too proud to care about competing with others. 14.a prouder Steve Jobs would not have let out the door.Steve Jobs was too proud to admit that he had imitated the product of others and thus limited the use of Ping to iTunes users only. I n other words, it had not been released for public trial.Visionary:If you refer to someone as a visionary,you mean that they have strong, original ideas about how things might be different in the future, especially about how things might be improved ?vision :愿景;视觉;远见In business,vision is foresight - the capacity to envisage future market trends and plan accordi nglyVision StatementAn aspirational description of what an organization would like to achieve or accomplish in the mid-term or Iong-term future. It is intended to serve as a clear guide for choosing current and future courses of action.Mission Statement?A written declaration of an organizatiorfs core purpose and focus that normally remains unchanged over time? Properly crafted mission statements (1) serve as filters to separate what is important from what is not, (2) clearly state which markets will be served and how, and (3) communicate a sense of inten ded directi on to the en tire organizati on.A mission is different from a vision in that the former is thecause and the latter is the effect; a mission is something to be accomplished whereas a vision is something to be pursued for thataccomplishment. Also called company mission, corporate mission, or corporate purpose.Sdelined: to prevent sb from playing in a team, especially because of an injury: The player has bee n sideli ned by a knee in jury.to prevent sb from having an important part in sth that other people are doing: The vice-presidentis increasingly being sidelined.washed up:No Ion ger successful or needed; finished; done.written off:If you write someone or something off, you decide that they are unimportant or useless and that they are not worth further serious attention.Seal:TD establish or determine irrevocably: Our fate was sealed?Rebo un d: (especially busi ness) a positive recovery/react io n that happe ns after sth n egative whipped up:to try to make people feel strongly about something; stirred upDisparagingly:slightingly; derogatorily; express a negative opinion ofreality distortion field:现实扭曲力场Reality distortion field (RDF) is a term coined by Bud Tribble at Apple Computer in 1981, to describe company co-founder Steve Jobs* charisma and its effects on the developers working on the Macintosh project? Tribble said that the term came from Star Trek. Later the term has also bee n used to refer to perceptio ns of his keynote speeches (or H St eve notes1') by observers and devoted users of Apple computers and products?The RDF was said by Andy Hertzfeld to be Steve Jobs1 ability to convince himself and others to believe almost anything with a mix of charm, charisma, bravado, hyperbole, marketing, appeasement and persistence? RDF was said to distort an audienee's sense of proportion and scales of difficulties and made them believe that the task at hand was possible?suspension of disbelief:Suspension of disbelief or willing suspension of disbelief is a term coined in 1817 by the poet and aesthetic philosopher Samuel Taylor Coleridge, who suggested that if a writer could in fuse a n human interest and a sembla nee of truth11 into a fan tastic tale, the reader would suspend judgment concerning the implausibility of the narrative? Suspension of disbelief often applies to fictional works of the action, comedy, fantasy, and horrorinitial public offering IPO:肖次公开券股肖次公开发行;肖次公开招股The first sale of stock by a company to the public? Companies offering an IPO are sometimes new, young companies, or sometimes companies which have been around for many years but are fin ally decidi ng to go public ? I POs are ofte n risky inv estme nts, but often have the potential for significant gains? IPOs are often used as a way for a young company to gain necessary market capital.Rankled:cause lasting bitterness or resentmentDigerati: People who are kno wledgeable about digital tech no logies such as computer programming and design conjured from nothing:lf you conjure something out of nothing, you make it appear as if by magic. premium prices : Premium pricing 溢价政策(also called image pricing or prestige pricing) is the practice of keeping the price of a product or serviceartificially high in order to encourage favorable perceptions among buyers, based solely on the price. The practice is intended to exploit the tendency for buyers to assume that expensive items enjoy an exceptional reputation or represent exceptional quality and distinctiori. A premium pricing strategy involves setting the price of a product higher than similar products? This strategy is sometimes also called skim pricing because it is an attempt to “skim the cream" off the top of the market. It is used to maximize profit in areas where customers are happy to pay more, where there are no substitutes for the product, where there are barriers to entering the market or when the seller cannot save on costs by producing at a high volume?Luxury has a psychological association with premium pricing. The implication for marketing is that consumers are willing to pay more for certain goods and not for others? T D the marketer, it means creating a brand equity or value for which the consumer is willing to pay extra? Marketers view luxury as the main factor differentiating a brand in a product category.venture capitalist:风险资本家;风险投资家;风险投资人A venture capitalist is a pers on who in vests in a busi ness ven ture, providing capital for start-up or expansion. Venture capitalists are looking for a higher rate of return than would be given by more traditional in vest me nts.Generally, venture capitalists are looking for returns of 25 percent and up.What's the difference betwee n a ven ture capitalist and an an gel investor?A venture capitalist is a professional investor. He or she manages a fund and is looking for suitable in vest me nts for that fund. An an gel in vest or is an individual who, while also looki ngfor a suitable investment, is also looking for a personal opportunity?In other words, the venture capitalist may have no business experienee applicable to the industry your company is involved in, and is focused on the potential rate of return your compa ny can provide. An an gel in vest or often has busi ness experie nee releva nt to your company and is interested in adding value to your company, as well as making a return on his or her in vestment ?Stint=tenure: A stint is a period of time which you spend doing a particular job or activity or working in a particular place?占有 (职位)Vision ary: If you refer to someone as a visionary, you mean that they have strong, original ideas about how things might be different in the future, especially about how things might be improved.W 远见的、有预见性的Milking:If you say that some one milks somethi ng, you mean that they get as much ben efit or profit as they can from it, without caring about the effects this has on other people.call the shots:定调子(ALSO call the tune; wear the trousers)to be in the position of being able to make the decisi ons which will influe nee a situati on exercise authority or be in chargeLieutenant:an assist a nt with power to act when his superior is absent; deputy 畐U FPragmatism means thinking of or dealing with problems in a practical way, rather than by using theory or abstract principles.实用?k义Mass Market:大众市场;人规模市场;大量市场Un-segmented market in which products with mass appealproducts (aspirin, orange juice, soft drinks, paperback romances, etc.) are offered to every customer through mass retailers or independent stores, and promoted through mass media?Niche Market:小众市场Expediency= convenienee means doing what is convenient rather than what is morally right. (FORMAL) eg:This was a matter less of morals than of expediency?利己、方便Concern: firm; company; businessme-too: a company's me-too product is one that is designed to be similar to a very popular product made by another companysand their fin gers down:sand down: to make a surface smooth by rubbing it with san dpaper Antitrust:反托拉斯的,反垄断的In the Un ited States, antitrust laws are in tended to stop large firms taki ng over their competitors, fixing prices with their competitors, or interfering with free competition in any way.Unit 5:The post-modern craving for creativity1. Pixar achieved its dominanee by making astonishing shifts in what was thought possible in animated filmmaking.Pixar became a market leader by making the impossible possible in animated filmmaking: theyhad made amazing groundbreaking achievements in the existing animation world?2. unproductive recreations offering no more value than a little R&R.The purpose of recreations is not to gen erate profits or in comes but to get nothi ng but a little rest and entertainment.3. placing this type of work on a par with the financial industry.making creative work rank equally with the financial work.4. And what role does creativity play in energy bra nds and how does it drive consumers to gravitate toward them?How does creativity contribute to vigorous and dynamic brands and how does it attract consumers gradually and irresistibly to them?5. maybe 農progress” isn't all that it'd been made out to b e?maybe it had been found out by people that modernism means much more than "progress11 only.6. deriving meaning out of life eludes many of us day by day.Many of us seems to forget what they live for.7. Like nine-year-olds wrestling with the question of Santa ClausLike children of nine years old who are always uncertain about whether Santa Claus really exists8. consumers are torn between wanting to believe modernist promises and being too savvy to suspend disbelief?consumers are very ambivale nt 矛盾的:on one hand, they want to believe what the modernist has promised; on the other hand, they do not want to believe in modernism blindly because they know very well that modernism cannot solve all their problems,e?g. understanding the meaning of life or human purpose.9.1 t's a conflict that few marketers can recognise.We know consumers do not want to be deceived 欺骗by marketing, but we also know no consumers will buy our products without marketing10. Yet we've found that creative bra nds transce nd their skepticism of marketing, allowing them to believe with no senseof hypocrisy, thus resolving the conflict?Yet we've found that creative brands clear the doubts in the head of consumers and make them believe in a real sense what is promoted in marketing. In this way, creative brands have solved the conflict satisfactorily.11 ?Creativity is embedded in the spirit of irresistible brands?What differentiates super brands from other less successful brands is creativity.12. there is n evertheless a sign ifica nt negative correlati on betwee n happ in ess and wealth?The more fortunes one possesses, the less happy one becomes?13. u So many lucky men, restless in the midst of abundance.MSo many people made a fortune overnight and they were unable to stay still or be happy where there were, when they were surrounded by material abundanee. depopulated : 人口减少reduce in population; desolate the Bureau of Labour Statistics :芳动统计局Creative services are a subsector of the creative industries, a part of the economy that creates wealth by offering creativity for hire to other businesses. Creative Services also means a department within a company that does creative work such as writing, designing, and producti on. It is ofte n a sub-department of the Marketi ng organizati on. Examples in elude: Design and production agenciesStudios Ideation consultancies Software development firms Temp agencyMarketing firmsPublic relati ons age ncies Advertisi ng age ncies Promotio nal age ncies Brandi ng age ncies Entertainment I ndustries币lent agency GuildsLike lawyers and accountants in the professional services sector, creative services firms sell a specialised technical service to satisfy the needs of companies that do not have this expertise themselves ?paradigm-shiftin:典范转移;典范移转;范式转换A radical change in thinking from an accepted point of view to a new one, necessitated when new scientific discoveries produce anomalies in the current paradigm.Modernism:American modernism, like modernism in general, isatrend of thought that affirms the power of human beings to create, improve, and reshape their environment, with the aid of scientific knowledge, technology and practical experimentation, and is thus in its essence both progressive and optimistic. American modernism is an artistic and cultural movement in the United States starting at the turn of the 20th century with its core period between World War I and World War II and continuing into the 21st century.negative correlation 负和关性A relationship between two variables in which one variable increases as the other decreases, and vice versa. In statistics, a perfect negative correlation is represented by the value while a 0.00 in dicates no correlation and a +1.00 in di cates a perfect positive correlation. A perfect n egative correlati on means that the relati on ship that appears to exist betwee n two variables is n egative 100% of the time .It is also possible that two variables may be n egatively correlated in some, but not all, cases.Here are a few examples of a negative correlation: The more time I spend at the mall, the less money I have in my checking account. The more hours I spend at the office, the less time Ispend with my family.cul-de-sac: blind alley —端不通的街道;死胡同.Bisociation:界类联想;界态混搭;界类混搭It is a study of the processes of discovery, invention, imagination and creativity in humour, science, and the arts. It lays out Koestler's attempt to develop an elaborate general theory of humancreativity.From describing and compari ng many differe nt examples of inventio n and discovery, Koest I er concludes that they all share a comm on patter n which he terms H bisociati orT - a blending of elements drawn from two previously unrelated matrices of thought into a new matrix of meaning by way of a process involving comparison, abstraction and categorisation, analogies and metaphors?He regards many different mental phenomena based on comparison (such as analogies, metaphors, parables, allegories, jokes, identification, role-playing, acting,personification, anthropomorphism etc.), as special cases of “bisociation”.The concept of bisociation has been adopted, generalised and formalised by cognitive linguists Giles F^ucorrnier and Mark Turner, who developed it into conceptual blending theory.frames of reference参照系;参考系;参考架构a structure of concepts, values, customs, views, etc., by means of which an individual or group perceives or evaluates data, communicates ideas, and regulates behavior.Overall context in which a problem or situation is placed, viewed, or interpreted. A too-narrow frame may leave out critical factors, whereas a too-broad frame may in elude many irreleva nt distractions.an in creme ntal and expone ntial idea1」n creme ntal inn ovati on is taking products, solutions and tech no logies which you curre ntly have today and doing some small advanceme nt on the same tech no logies or solutio ns The humble bicycle has evolved over time with new materials (particularly in the frames) new comp orients (no gears back in the old days), and accessories such as lights ?2. lech no logy is ever in creasing and ever advancing. We know this through Moore? s Law. And, technology has impacts that expand like the ripples on a pond. From the center (the developme nt and release of a new tech no logy) to the outermost ripple that seems un related ?An expone ntial idea is the one that helps in the fast across-the-board inno vat io n of the organization, involving business models, manufacturing processes, products and services, etc.Differentiation产品差界化;产品分化;产品差界性A marketing process that showcases the differences between products? Differentiation looks to make a product more attractive by contrasti ng its unique qualities with other com pet i ng products ? Successful product differentiation creates a competitive advantage for the seller, as customers view these products as unique or superior.Product differe ntiatio n can be achieved in many ways .It may be as simple as packagi ng the goods in a creative way, or as elaborate as incorporating new functional features? Sometimesdiffere ntiation does not involve ch a nging the product at all, but creati ng a new advert i si ng campaign or other sales promotions instead?Unit 7:BMW Drives Germany1. BMW has mastered the manufacturing fine arthighly developed techniques in manufacturing2. heavily unionized workforcethe workforce in which the percentage of employees that are union members is very high3?rival Audi is turning up the heatapply great or in creased pressure; turn up the pressure4. The recovery “has legs”To have en dura nee; to have prospects to exist or go on fora long time ?5. many German firms did their homeworkmade a careful preparation to fight back6」t, s all about mastering complexity.The recipe for success is to know perfectly well how to achieve synergy through merging complementary competencies?7. Putting BMW on a more efficient footing at home has enabled it to expand its product line in all directions?Maki ng BMW run more efficiently in the homeland of Germa ny8. But it makes up in price and prestige what it lacks in volume?the low sales of Rolls-Royce are offset by its high price and good reputation.9?“BMW' s main weakness is that life is get ting ever narrower in the premium segment, and it needs volume growth.the customer base in the upscale market is getting smaller, so BMW needs to grow fast in the volume of sales.Dealership 商品特许经销商:a business established or operated under an authorization to sell or distribute a company's goods or services in a particular area; franchise。

英语作文走路玩手机

英语作文走路玩手机

Walking while using a smartphone has become a common sight in todays fastpaced world.People are often seen engrossed in their screens,navigating through social media feeds,texting,or even playing games as they walk.While this may seem harmless,it poses several risks and has sparked debates on safety and etiquette.Distraction and Safety ConcernsThe most significant issue with walking while using a smartphone is the distraction it causes.When people are engrossed in their phones,they are less aware of their surroundings.This lack of attention can lead to accidents,such as bumping into other pedestrians,tripping over obstacles,or even stepping into traffic,leading to injuries or worse.Impact on Social InteractionAnother concern is the impact on social interaction.Walking while using a smartphone can lead to a decrease in facetoface communication.People may miss out on the opportunity to engage with others,which can lead to feelings of isolation and disconnection.This behavior can also be perceived as rude or inconsiderate,as it may seem like the person is not interested in the people around them.Health ImplicationsThere are also health implications associated with walking while using a smartphone. Constantly looking down at a screen can lead to neck and back strain,as well as eye strain.Over time,this can contribute to longterm health issues such as chronic pain and vision problems.Legal and Ethical ConsiderationsIn some places,it has become a legal issue.For example,in some cities,it is illegal to cross the street while using a smartphone.This is to ensure the safety of pedestrians and reduce the risk of accidents.Ethically,there is a debate on whether it is responsible to engage in activities that can potentially harm oneself or others.Solutions and AlternativesTo mitigate these risks,there are several solutions that can be implemented.For instance, designated phonefree zones could be established in busy pedestrian areas.Additionally, awareness campaigns can be conducted to educate people about the dangers of walkingwhile using a smartphone.Technological solutions can also be explored,such as apps that limit the use of certain features while the user is in motion.Alternatively,people can be encouraged to use headphones that allow for peripheral hearing,so they remain aware of their surroundings. ConclusionIn conclusion,while walking and using a smartphone may seem convenient,it is essential to consider the potential risks and consequences.By being mindful of our actions and taking steps to minimize the dangers,we can ensure a safer and more connected society. It is crucial to strike a balance between our digital and physical worlds,ensuring that we do not compromise our safety or the wellbeing of others in the process.。

基于DPM和DVS的双效节能调度算法

基于DPM和DVS的双效节能调度算法
通 过 对 上 述 节 能 策 略 的 分 析 ,本 文 提 出 一 种 针 对 周 期 性 任务的双效节能调度算法 DVS-PM,该算法采用 DPM 动态调 节处理器及外围模块在工作模式和休眠模式或低功耗模式之 间切换;采用 DVS 在保证系统实时性前提下,调整电压和频 率 使 系 统 运 行 在 功 耗 最 低 的 最 佳 工 作 点 ,同 时 本 文 也 推 导 出 电 压 / 频 率 与 系 统 功 耗 的 数 学 关 系 ,得 出 最 佳 工 作 点 的 计 算 方 法 。最 后 ,通 过 实 验 验 证 了 本 文 提 出 方 法 的 可 行 性 和 有 效 性 。
李永亭,褚德欣,樊明:基于 DPM 和 DVS 的双效节能调度算法
2009,30 (18) 4181
1 节能调度算法分析
动态功率管理 (DPM) 和动态电压调节 (DVS) 是目前系统 低功耗设计中常用的节能调度策略。从本质上说,DPM 策略就
是根据设备工作负载的变化切换其工作状态以达到系统能耗
最小化;DVS 策略是根据任务的紧迫程度动态调节处理器运行 电压,以达到任务实时响应时间和系统低能耗之间的平衡。
Dual power aware scheduling algorithm using dynamic power management and dynamic voltage scaling
LI Yong-ting, CHU De-xin, FAN Ming (College of Information Engineering, Inner Mongolia University of Technology, Huhhot 010051, China)
2 DPM 和 DVS 双效调度算法
  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

Peripheral Aware Dynamic Voltage and Frequency Scaling for theStargate PlatformRahul BalaniIlias TsigkogiannisFor EE201C Project (VLSI Systems)Abstract :Dynamic voltage and frequency scaling (DVS) for micro-processors seeks to minimize the energy consumption of the processor by scaling its voltage and frequency according to the workload. But, it has one major drawback – it accounts for only the energy consumption of the core processor, and not even of the other sub-systems on the chip, leave alone the peripherals attached to it. This makes it necessary for us to analyze the DVS scheme for embedded system devices while taking into account all the peripherals. Finally, this project is able to show that the DVS scheme is not effective for such devices and it’s most optimal to operate such devices at the highest operating frequency.1. Introduction:Dynamic voltage and frequency scaling for various processors has been studied for over 10 years. It was introduced around 1994, and in a span of only five years, this field had gained tremendous popularity. The main feature of this approach is that it results in super-linear savings in the energy consumption of the processor [1]. Consequently, many new processors are providing support for frequency and voltage dynamic scaling at run-time according to the workload conditions. Intel X-scale processors are some of the processors in this category [2].However, this approach suffers from one drawback. It takes into account only the energy consumed by the core processor as its only possible to change the V cc of the core processor. The other components, like the I/O pins, ADC etc, on the chip, operate at a constant voltage. Besides these components, in a typical embedded system, like the stargate, the processor is also attached to various peripherals like a PCMCIA slot, flash memory, serial port and a sensor board etc, which also operate at a constant voltage. Apparently, the DVS also has an affect on the energy consumed by these peripherals. Decreasing the operating frequency of the processor increases the time that these peripherals are turned on, thereby resulting in an increased energy consumption, which overshadows the energy savings gained from the processor consumption. Thus, for an embedded system like the stargate platform, it’s essential to revisit the DVS scheme and analyze its effectiveness while taking into account the peripherals as well.The next section formulates the problem and describes the stargate platform and the experimental setup used to determine energy consumption of the platform in various frequency modes. Section 3 explains the experiments and the choice of benchmarks. Then section 4 gives the results obtained from the experiments. Finally, section 5 concludes the report.2. Problem Formulation and Experimental SetupProblem: Given the various knobs to control the operating frequency and voltage of the PXA 255 processor on the stargate, this project will try to evaluate the effectiveness of the DVS scheme for the stargate platform and also compare the effect of scaling only the frequency versus scaling both frequency and voltage.Figure 1 (above): Intel Stargate platform and Figure 2 (below): Block DiagramStargate: The stargate is an embedded system platform from Intel, which was initially developed as part of the Personal Server project [3], [7]. Later, it also found use in the sensor network community and is now widely used as a higher-tier system [4] with comparatively extensive computing capabilities and an 802.11 radio. Figure 1 shows the stargate platform. The main board contains an Intel PXA255 processor, 64 MB SDRAM, 32 MB flash (secondary storage), a PCMCIA slot, compact flash slot, mica2 mote connector and a connector for attaching a daughter card, which contains a serial port, Ethernet port, USB port and a JTAG connector.The daughter card is mainly used for debugging purposes and is not attached to the stargate in real deployments. The stargate can either be powered by a battery or by an AC-DC converter (if the daughter card is also attached to it). The main board can take in 3.8-5V, which is fed into a linear regulator (dropout = 0.5 V) to bring down the voltage to 3.3 V. This regulated voltage is then fed into all the peripherals, including the daughter card. The 3.3 V is further brought down to 0.8 – 1.3 V for the core processor through a DC-DC converter. The block diagram for the processor board and the daughter card is shown in the figure 2. The platform supports a light-weight Linux OS.The PXA255 processor is a DVS capable processor with the ability to operate in 7 different frequency modes as shown in table 1 [2]. Each mode can operate at a different minimum core voltage. A digital-to-digital voltage converter chip controls the core voltage of the processor. The core voltage can be set by writing a DAC number (integer – between 1 and 1024) to that chip. A higher number means lower voltage. An equation to convert back and forth between this number and the corresponding voltage is not publicly available, so it needs to be found experimentally for each mode. The frequency mode can be set by writing the corresponding mode number to the appropriate register on the processor.Mode CPU (f) BUS (MHz) Voltage (V)1 100 50 0.852 200 50 1.003 200 100 1.004 300 50 1.105 300 100 1.106 400 100 1.307 400 200 1.30Table 1: Frequency modes supported by PXA255 processor along with minimumoperating voltage for each modeExperimental Setup: The first goal was to determine the highest DAC number for each frequency mode, so as to enable us to operate the processor at minimum voltage for that mode. For the purpose of this project, it was not required to get the corresponding core voltage values (in V), as they were not required while measuring the energy consumption of the whole system. The stargate was connected over the serial port to a PC, which was running an application called minicom, in order to communicate with the stargate.The next goal was the characterization of the energy consumption of the whole system while operating in different frequency modes and voltages, and the use of a varied set of peripherals such as the daughter card and a compact flash wireless network card. A Data Acquisition Card (DAQ card) was used, in order to measure voltage and current. It was configured to sample at 10 KHz for a time period of 20 s. The stargate was placed in series with a 0.22 Ohm resistor and connected to Vcc = 4.0 V. Channel 0 of the DAQ card measured the current flowing through the resistor, while channel 1 sampled the voltage supplied to the stargate as shown in figure 3. The average power consumed by the system was also output at the end of the 20s time period, which was afterwards multiplied by the execution time of the chosen benchmarks to get the desired energy consumption.Figure 3: Circuit diagram for connecting the DAQ card and the stargate3. Modeling and ExperimentsAs noted earlier, the first goal of the project was to obtain the highest DAC number for each frequency mode of the core processor. This was done by the trial-and-error method and hence, was very slow. The custom benchmark designed to test the system at each voltage was a mixture of FFT calculation for 131,000 elements [5] (CPU and memory intensive) and a series of 130 ping requests sent over the network (network intensive). Besides this, the tests were done with the daughter card attached to the main board to make the results more robust and the debugging process easier. Initial estimates were obtained by running this benchmark for about 10 minutes at each voltage level for each mode. The voltage was successively increased till the system started crashing after sometime at a particular voltage level. Finally, for each mode, the system was tested overnight for operating at the voltage level, above which it failed. Sometimes, this wasrepeated for voltage levels below the current one as the stargate used to crash in those extreme conditions. Finally, the results obtained from this extensive testing were used for the next phase of the project. They are also displayed in table 2 below. Note that this was fairly lengthy process also because of the fact that a stargate crash meant that the whole OS, boot loader and the file system had to be reinstalled on the system before continuing any further testing.Mode CPU frequency DAC number1 100 2502 200 2203 200 2204 300 1505 300 1506 400 907 400 90Before continuing onto the next phase, it is important to mention in brief the way that dynamic voltage and frequency scaling work. For the sake of simplicity, we only consider the two extreme modes of operation i.e. mode 1 (lowest frequency) and mode 7 (highest frequency) and assume a constant workload in terms of number of instructions to be executed. It is intuitive that in mode 1, it will take more time to execute a given workload than in mode 7. Figure 4 shows the power vs time graph for both the modes superimposed on each other. In mode 1, the processor is active all the time while executing at a lower frequency and voltage, thereby resulting in lesser average power for the execution time. On the other hand, in mode 7, the processor is active for only the time it takes to execute the workload, and is turned off for the remaining time (until it is woken up for another task). The active, shutdown, sleep and wake-up phases are clearly marked in the figure for mode 7. It has higher average power consumption for the time it was active in mode 7. We use this scenario, extended to include all the modes of operation, in order to evaluate the effectiveness of DVS scheme in our next phase. There the power axis represents the average power consumption of the entire system (processor board + peripherals).We begin the next phase with a discussion on the benchmarks selected for evaluating the DVS scheme. It was desirable to choose benchmarks, which tested all or most of the stargate resources in order for the results to be robust. The first benchmark was an FFT computation program, which was very computation and memory intensive and made extensive use of floating point instructions. The next benchmark was called FIND, which looped over a “find” system call k number of times. The “find” system call basically searched for a non-existing filename starting from the root directory. This filename was changed in each iteration of the loop to avoid any cache hits. Hence, this benchmark usedFigure 4: Power Vs Time graph for the processor executing in mode 1 and 7the external flash memory intensively while trying to search through the entire file system. The third benchmark, called PING, was a network intensive one where 10,000 ping requests were flooded through the wireless network using the compact flash wireless network card. Each of these benchmarks was configured to run for approximately 30 s in mode 7, and the same configuration was used for all the other modes. In the end, the time taken to execute the main loop of the program was output using gettimeofday() function call provided in C. This implies that the measurements were taken for a constant workload in different modes, which was necessary to make a fair comparison between the various modes of operation.The experiments were now divided into two main categories. In the first category (referred to as DVS later), both the frequency and core operating voltage of the processor were scaled for the purpose of taking the measurements while running the above benchmarks. In the second category (referred to as DFS later), only the operating frequency was scaled for the purpose of taking measurements. This was done in order to compare the individual and combined effects of voltage and frequency scaling.Within each category, each benchmark was run four times, with a different configuration of peripherals and the main board each time (except the PING benchmark which doesn’t make sense when used without the wireless network card). These different configurations are shown in table 3 below. The next section discusses the results obtained.Number Configuration1 Main board2 Main board + wireless card3 Main board + daughter card4 Main board + daughter card + wireless cardTable 3: Different test configurations for each benchmark4. ResultsThis section first analyzes the results obtained for the DVS category as described above.It shows the results (tables 5 – 9) only for the configurations 1 and 2, in order to conserve space as the rest of the configurations show similar trend. The average powerconsumption values and the execution times for each benchmark are obtained from the experiments conducted by the authors. It was also measured that the stargate consumes 440 mW in the active mode during idle time. Other values, like the average power consumption during sleep and the time to shut down and wake up the processor in different configurations was taken from published work [6]. The values are summarized for the configurations 1 and 2 in the table 4 below. For configuration 2, the values were derived assuming that there is a FET switch (power-gating), which completely turns off the power supply to the wireless card during sleep mode. The average power consumed during the sleep mode is actually around 18 mW, but 20 mW has been used here as aConfiguration Parameter Value (units)Avg. Power Consumptionduring sleep 20 mWTime to shutdown (tshutdown) 10 ms1(Main board)Time to wake-up(twake)10 msAvg. Power Consumptionduring sleep 20 mWTime to shutdown (tshutdown) 10 ms2(Main board + wirelesscard)Time to wake-up(twake) 1205 ms Table 4: Parameters taken from published workconservative estimate to take care of the power consumed by the power-gating switch (approx 1 mW). Later, it was observed that these conservative estimates did not have any effect on the trend (relative values).The total energy (Etotal) consumed by the system in any configuration was calculated by adding the energy spent executing the task (Eexec), energy spent during the sleep mode (Esleep) and the overhead energy consumption due to shutting down and waking up the system (Eover). Please note that Etotal is the energy consumed by the whole system for a constant workload, and for the time interval length equal to the time taken by the processor to execute the complete task in the lowest frequency mode 1. It can also be inferred from the result tables which show tsleep = 0 for the mode 1. This is done to make a fair comparison and is in accordance with the discussion in section 3.The following equations were used to calculate various energy values:Etotal = Eexec + Esleep + EoverEexec = Avg Power (exec) * texecEsleep = Avg Power (sleep) * tsleepEover = ½ * tshutdown * (0.44 + Avg Power(sleep))+ ½ * twake * (0.44 + Avg Power(sleep))Eover is conservatively estimated by adding the area of two trapeziums formed in the shutdown and wake-up phase as shown in figure 4.Frequency mode Av.Power(W)texec(s) tsleep(s) Eexec(J) Esleep(J) Etotal(J)1 0.629 111.323 0 70.022167 0 70.0221672 0.701 65.613 45.69 45.994713 0.9138 46.9131133 0.851 55.931 55.372 47.597281 1.10744 48.7093214 0.801 61.884 49.419 49.569084 0.98838 50.5620645 0.994 39.367 71.936 39.130798 1.43872 40.5741186 1.154 33.815 77.488 39.02251 1.54976 40.576877 1.309 29.515 81.788 38.635135 1.63576 40.275495Table 5: Energy Consumption (DVS): Benchmark FFT – Configuration 1Frequency modeAv.Power(W)texec(s) Tsleep(s) Eexec(J) Esleep(J) Etotal(J)1 1.321 111.323 0 147.057683 0 147.0576832 1.397 65.613 44.495 91.661361 0.8899 92.8305613 1.539 55.931 54.177 86.077809 1.08354 87.4406494 1.483 61.884 48.224 91.773972 0.96448 93.0177525 1.677 39.367 70.741 66.018459 1.41482 67.7125796 1.813 33.815 76.293 61.306595 1.52586 63.1117557 1.977 29.515 80.593 58.351155 1.61186 60.242315Table 6: Energy Consumption (DVS): Benchmark FFT – Configuration 2The above tables are summarized in figure 5 below using a bar chart. It can be clearly seen that the highest frequency mode i.e. mode 7 is the most optimal operating point for the stargate. Not only does the work get completed in the fastest amount of time, but also it’s the most energy efficient out of all the other modes. Similar trends can be seen from the tables and figures for the PING and FIND benchmarks.One should also note here that the above experiments had an inherent assumption about the type of workloads the stargate might be exposed to as an embedded system platform in sensor networks. Typically, these systems have periodic execution-shutdown-wakeup patterns where the length of execution time varies according to the application. For a platform like stargate with extensive computing power as compared to other smaller nodes in this field, it is expected that it will be doing heavy computation (like data aggregation/filtering, voice/image processing), which may run into tens of seconds. Thus, using benchmarks, which run for about 30s, is appropriate for our cause. But for applications which have very small execution times (1-2 seconds), the time and energy overhead for putting the system to sleep, and waking it up, may make it more beneficial to run the system in a lower frequency mode rather than executing it at highest frequency and then going off to sleep. The authors chose not to consider this case as it is very unlikely for a stargate level device to be operated in such “light” workload.Another interesting observation that one can make is that there is a spike in the energy graph at frequency mode 4 for all the benchmarks and all the configurations. This is probably because there is a mismatch between the CPU frequency (300 Mhz) and the bus frequency (50 Mhz). The bus is unable to cope with the processor speed thereby greatly increasing the execution time and hence total energy consumption.Figure 5: Energy Consumption Comparison (DVS): FFT, both configurationsFrequency mode Av.Power(W)texec(s) tsleep(s) Eexec(J) Esleep(J) Etotal(J)1 0.605 76.876 0 46.50998 0 46.509982 0.667 59.711 17.145 39.827237 0.3429 40.1747373 0.777 41.461 35.395 32.215197 0.7079 32.9276974 0.748 54.97 21.886 41.11756 0.43772 41.559885 0.895 35.85 41.006 32.08575 0.82012 32.910476 1.008 32.608 44.248 32.868864 0.88496 33.7584247 1.133 27.198 49.658 30.815334 0.99316 31.813094Table 7: Energy Consumption (DVS): Benchmark – FIND, Configuration - 1Frequency modeAv.Power(W)texec(s) tsleep(s) Eexec(J) Esleep(J) Etotal(J)1 1.298 76.876 0 99.785048 0 99.7850482 1.444 59.711 15.95 86.222684 0.319 86.8209843 1.483 41.461 34.2 61.486663 0.684 62.4499634 1.437 54.97 20.691 78.99189 0.41382 79.685015 1.59 35.85 39.811 57.0015 0.79622 58.077026 1.823 32.608 43.053 59.444384 0.86106 60.5847447 1.94 27.198 48.463 52.76412 0.96926 54.01268 Table 8: Energy Consumption (DVS): Benchmark – FIND, Configuration – 2Figure 6: Energy Consumption Comparison (DVS): FIND, both configurationsFrequency modeAv.Power(W)texec(s) tsleep(s) Eexec(J) Esleep(J) Etotal(J)1 1.436 56.725 0 81.45 0 81.452 1.479 53.809 1.701 79.58 0.03402 79.893 1.533 46.283 9.227 70.95 0.18454 71.414 1.55 51.786 3.724 80.26 0.07448 80.625 1.592 45.185 10.325 71.93 0.2065 72.426 1.717 43.257 12.253 74.27 0.24506 74.797 1.692 41.324 14.186 69.92 0.28372 70.48 Figures 7-8 summarize and compare the results from both the DVS and DFS category. It cab be observed that both DFS and DVS follow the same trend, and DFS is always more inefficient than the DVS scheme in terms of energy. This is intuitive as energy consumption depends on the square of voltage term. For clarity sake, comparison between DFS and DVS is only done for configuration 1.Figure 7Figure 86. ConclusionIn this project, we evaluated the effectiveness of dynamic voltage and frequency scaling for embedded system platforms like stargate. It was found that these DVS schemes are not effective when the peripherals on the device are also taken into account. This is because the peripherals operate at a constant voltage and are not capable of dynamic voltage scaling. Also, they constitute the majority of the energy consumption as they operate at a much higher voltage than the processor. It was also observed that scaling both voltage and frequency on a processor is more effective than scaling just the frequency.7. References[1] T. Burd and R. Brodersen. “Design Issues for Dynamic Voltage Scaling”. In Proc. International Symposium on Low Power Electronics and Design, pages 9-14, July 2000 [2] Intel X-scale micro-architecture./design/intelxscale[3] Intel Personal Server Research./technology/techresearch/research/rs08031.htm[4] Ram Kumar, Vlasios Tsiatsis, Mani Srivastava, "Computation Hierarchy for In-network Processing". In Proceedings of the Second International Workshop on Wireless Sensor Networks and Applications held in conjunction with Mobicom 2003, September 19, San Diego, California.[5] Collection of benchmarks at ftp:///pub/aburto/[6] T. Pering, V. Raghunathan, and R. Want, "Exploiting radio hierarchies for power-efficient wireless device discovery and connection setup", IEEE International Conference on VLSI Design (VLSID), January 2005.[7] Stargate resources website. /。

相关文档
最新文档