整点报时数字钟设计
报时数字钟的设计
报时数字钟的设计
报时数字钟的设计主要包括以下几个方面:
1.数字显示屏:数字显示屏是报时数字钟的核心部分,可以采用LED、LCD或OLED等技术实现。
数字显示屏通常显示小时数、分钟数和秒数,以及AM/PM等标识符号。
2.时钟芯片:时钟芯片是报时数字钟的控制中心,它可以精确地显示时间,还可以控制报时功能的开关。
时钟芯片的选择应该考虑稳定性、精准度以及易用性等方面。
3.报时功能:报时数字钟的报时功能可以采用语音、铃声或震动等方式实现,一般可以设置相应的时间间隔和报时内容。
4.电源系统:报时数字钟的电源系统一般采用电池或AC电源供电,电池通常是干电池或锂电池,AC电源则需要内置电源适配器,能够适时切换电压。
5.外壳设计:外壳设计应该考虑美观性、实用性以及易于维护等要素,同时还需要考虑灯光亮度、屏幕大小、按钮设置、调整音量等方面。
数字电子钟(计时、校时以及整点报时)数电课程设计报告
设计要求1.用秒脉冲作信号源,构成数字钟,显示秒、分、时2.具有“对时”功能,即时间可以快速预置3.具有整点提示功能。
一种实现的方法是每到整点时触发“音乐芯片”或每到整点前几秒钟,发出如“的、的、的、答”声音信号。
系统框图设计过程时间显示模块电路可以用3个CD4518作为核心芯片,进行级联,再辅以若干逻辑门,完成进位、置零等功能,CD4518是双十进制计数器,有两个时钟输入端,正好可以满足进位和校时的功能,而不会产生干扰,且有一个置零功能,可以组成六十进制和二十四进制的计数器。
整点报时模块电路用的是555芯片和一块CD4068芯片组成的电2路,555芯片可以接成多谐振荡器,提供交变信号使蜂鸣器发出声音,而整点报时的控制可以用CD4068实现,CD4068是8输入与/与非门,可以在整点之前输出脉冲信号,经过由555芯片组成的多谐振荡器,为其提供一个信号,这样由多谐振荡器输出端可以使蜂鸣器发出“嘀、嘀、嘀”的响声。
秒信号发生器可以用实验箱上的秒脉冲信号代替。
考虑到开关抖动现象,校时模块电路实验实验箱上的按键开关,每输出一个脉冲信号可以改变分个位和十个位,同时考虑到干扰问题,进位接线和校时接线接在不同的时钟输入端。
电路仿真与设计3.1所需芯片及芯片管脚图CD4518 CD4068CD4002 CD40112CD4069 5553.2时、分、秒显示电路模块设计整个电路的的核心芯片是CD4518,它是一个双10进制加法计数器,因此只需要三个芯片,进行级联即可实现两个六十进制和一个二十四进制计数器,再加上一些合适的逻辑门,实现置零和进位。
上图是秒显示电路设计图,右边为秒个位,左边为秒十位,秒个位的电路中置零引脚和时钟输入端CP1必须接地,这是因为CMOS 的引脚不能悬空,否则会影响实验结果,CP0接秒脉冲信号,考虑到秒个位计数到9的时候必须进位,所以在显示0的同时输出一个进位信号,输出是0000,因此可以用一个或非门,当输出是0000的时候提供一个进位信号至秒十位的时钟输入端,秒十位另一个时钟输入端接地,当秒十位计数器计到5时,在输出为0110时提供一个信号到秒十位计数器的置零端,使其实现0110——0000,即六十进制。
仿广播电台整点报时数字钟设计
sel : out std_logic_vector ( 2 downto 0));
END seltime;
ARCHITECTURE fun OF seltime IS
SIGNAL count: STD_LOGIC_vector ( 2 downto 0);
if (reset='0') then
count <= "0000000";
elsif (setmin='0') then
enmin <= clk;
elsif (clk 'event and clk='1') then
if (count(3 downto 0)="1001") then
if (count <16#60#) then
if (count="1011001") then
enmin<='1';
count<="0000000";ELSE
count<=count+7;
end if;
else
count<="0000000";
end if;
elsif (count < 16#60#) then
count <= count+1;
原理图如图2
图2振荡器原理图
这里选用由555构成多谐振荡器,振荡频率为fo=1KHZ
由 f= ;
假定C1=0.1uf,
得到R1=2K;R2=5.1K;
整点报时数字钟
数字钟一、设计任务本任务为:数字钟。
设计任务具体内容如下:基本设计任务依据命题题意,本设计采用89C51进行24小时计时并显示。
要求其显示时间范围是00:00:00~23:59:59,具备有时分秒校准功能。
数字钟上面要带有闹钟,闹钟与时钟之间能随时切换,闹钟具备时分秒设置功能。
控制设计任务由于本设计采用手动校准时钟与手动设置闹钟方案,所以要求用较少的按键来达到切换闹钟与时钟、时钟时分秒校准、闹钟时分秒设置等功能。
软件设计任务数字钟的所有计时都要由软件控制实现。
用软件对几个按键所得信号进行相应改变,以控制时钟与闹钟的显示。
通过软件对闹钟与时钟进行比较,当时钟所显示时间与闹钟一样时,要启动报时模块。
三、总体设计经过对各个方案分析比较,最终确定总方案如图3-1所示。
该系统所有模块都由主单片机控制。
其中,设计各个模块,包括单片机、显示模块、电机驱动、光电探测由四节AA电池供电。
电机驱动采用L298驱动芯片控制。
用光电传感器对边线的探测来控制距离。
通过单片机的机器周期计算时间计数周期,以达到计时目的。
图3-1 系统方案图系统硬件电路设计3.1.1 显示及控制模块图3-4 语音报时模块系统软件设计3.2.1 软件计时的分析与计算单片机内部定时器有4种工作模式,方式0是13位计数器,由于计时时间过短,中断频率高,所以不选用此模式;方式2是自动重装式计数器,是8位计数器,同样中断频率过高;方式3也是8位计数器;方式1是16位计数器,综合考虑,选用方式1做精确计时。
由于51单片机是12分频,因此机器周期=晶振频率/12。
在该设计中,选用频率为12MHz的晶振,因此机器周期=1μs。
定时1s需要1000000个机器周期,因此通过20次定时器中断完成1s的定时,每次完成50000个周期的定时,因此每次给定时器的初值应该是TH0=B0H,TL0=3CH。
3.2.2 系统软件设计设计两套存储方案,一套存储时钟,一套存储闹钟,两者互不干涉,只有当两者相等时才会调用闹钟播放子程序,而当每次整点时则会调用整点报时子程序。
整点报时可调式数字电子时钟的设计
用EDA软件实现整点报时数字式可调电子时钟的设计一、设计目的了解常见中规模数字集成电路的使用方法,包括计数器、显示译码器、多谐振荡器的工作原理及使用方法。
通过组装具有整点报时数字可调电子时钟,了解这类电路的使用技巧及调整方法。
通过对电路板的实际布线焊接检测调试,提高电子技术硬件的基本能力。
二、系统组成1、先用Multisim实现如下系统:本组合电路包括时基多谐振荡器、计数器、十进制译码显示器、发光数码管等部分组成。
各部分组成框图如下:系统组成图2、仿真通过三、单元电路组成原理与参数选择1、多谐振荡器:这里采用最常见的时基电路555组成的周期为1秒的振荡器。
555集成电路的原理及应用可以参见教材,此不重复。
通常选择适当的定时电阻和电容元件使振荡刚好为1秒钟。
多谐振荡器的电路图和NE555的芯片引脚图如下:2、十进制计数器:本系统采用的是十进制计数器7490。
本系统秒钟是用两个7490构成60进制组成。
分钟也是用两个7490构成60进制组成时钟采用两个7490构成24进制计数器组成。
将三个计数器级连起来就构成了电子时钟。
7490的芯片图和真值表如下:(5脚接电源VCC,10脚接地其中1脚和12脚相连)。
X 0 0 X COUNT下面介绍秒钟和分钟连接方法如下图(如果是秒钟14脚则接多谐振荡器555电路的第3脚,如果是分钟14脚则接秒钟的7408(与门)芯片的第3脚。
下面介绍时钟的接法(14脚接分钟的7408的第3脚):3、7448实现多位数字码显示译(如果是选用共阳极七段数码管则选择7447)7448为七段译码显示器,其功能可详细参见数字电路书。
它实现的功能是把7490输出的(QA、QB、QC、QD)实现译码驱动七段共阴极数码显示它的接法如下:7448的8引脚接第,16脚接电源。
7490的3脚接7480的输出引脚输出高电平时控制计数器时及时清零。
4、LED数码显示管数码显示管是7段显示器,其内部有八个LED发光二极管,7个组成7段显示,一个为小数点指示。
数字钟的设计(含有闹钟功能)
综合设计报告设计名称:数字逻辑综合设计报告设计题目:数字电子钟学生学号:专业班级:学生姓名:学生成绩:指导教师(职称):课题工作时间:至综合设计报告目录摘要 (II)Abstract (II)第一章课题背景(或绪论、概述) (1)1.1 数字电子钟应用 (1)1.2 电子钟的应用前景 (x)第二章设计简介及设计方案论述 (x)2.1 设计原理简介 (x)2.2 设计方案论述 (x)2.3 设计目的概述 (x)第三章详细设计 (x)3.1 实验元件及介绍 (x)3.2 模块的详细设计 (x)3.2.1 二十四时制显示模块3.2.2 校时电路模块3.2.2 整点报时模块3.2.2 闹钟模块第四章设计结果及分析 (x)4.1 设计电路 (x)4.2 运行结果及分析 (x)4.3 结果分析 (x)总结 (x)致谢 (x)参考文献 (x)附录主要程序代码 (x)摘要、、、、、、、、、、、、、综合设计报告【关键词】电子钟、校时电路、比较器、整点报时数学逻辑课程设计选题是电子钟的设计,运用Protues7.5 SP3版仿真软件进行电子钟的设计。
主要原理是由555芯片及门电路产生多谐震荡,输出稳定的为1HZ秒脉冲,作为时间基准。
秒计时器满60向分计时器仅为,分计时器满60向小时计时器进位,小时计时器以24为一个周期,并实现了小时高位具有零熄灭的功能,计时器的输出送到显示屏,课在相应位置正确显示时、分。
秒。
计时出现误差或者调整时间时用校时电路进行时、分的调整。
而且添加了整点报时功能,并利用比较器实现了闹钟功能。
Abstract【Keywords】Digital clock, divider chip, the decoder chip, the campus circuit, the whole point alarmThe desigining topic of the electronic technology course is the digital clock. The main principle by the oscillator transistor multivibrator oscillation, after the output frequency divider and stable pulse,60 seconds over the counter sub-counters to carry, at least 60 minutes to the hour counter binary counter, hours counter for a period of 24 and achieve a high level with zero off-hour functions. Counter displays the output sent by the decoder can be displayed correctly in the appropriate location, minutes, second. Timing errors, or adjust the time when the school when the circuit is available, the minutes of the adjustment. In this circuit, The whole point alarm will last 10 seconds per hour.综合设计报告第一章课题背景(或绪论、概述)二号字黑体这一章应说明本设计课题的背景、目的、意义、应解决的主要问题及应达到的技术要求;本设计的基本理论依据和主要工作内容。
课程设计整点报时数字钟
“数字电子技术”课程设计实验报告电子时钟整点报时;姓名:学号:年级:日期:目录一、选题意义 (1)二、设计方案 (1)三、电路设计 (2)四、电路调试 (4)五、实验结果总结及电路实物照片 (5)六、存在问题及可能改进思路 (6)七、心得体会 (6)附:所用元件清单 (6)一、选题意义电子钟已成为人们日常生活中的必需品,它是一种利用数字电路来显示秒、分、时的计时装置,与传统的机械钟相比,它具有走时准确、显示直观、无机械传动装置等优点,因而得到广泛应用。
随着人们生活环境的不断改善和美化,在许多场合可以看到数字电子钟。
通过该课程设计可以增强对数字电路系统设计、模块设计、画原理图、电路仿真、元件布线和调试等方面的能力,从而加深对课堂所学的理论知识的了解,增强发现问题、分析问题、解决问题和制作实验报告的综合能力。
二、设计方案观察上图,整点报时电子钟的设计可分为逻辑运算部分、校时部分、时间显示部分和报时部分。
用秒脉冲作信号源,构成电子钟,显示秒、分、时,具有高精度和高稳定度。
可以快速预置时间,当时间达到整点,蜂鸣器会发出如“的、答”的声音。
三、电路设计设计原理:设计以CD4518为核心芯片,C D4518是双10进制加法计数器,右侧都作为时、分、秒计时模块的低位计数显示,而左侧则作为高位显示。
通过逻辑组合,将三个CD4518分别做成一个24进制加法计数器和两个60进制加法计数器。
当时间到达00分00秒时,振荡器在脉冲信号的作用下发出响声,实现整点报时的功能。
而校时功能则是将上一级的低位时钟输入端,利用一个单向双刀开关,分别与下一级的高位时钟输出端和时钟信号连接起来,通过手动切换来实现校时功能。
图1:计时模块时钟部分图2:计时模块分、秒钟部分图3:报时模块图4:电路图总图四、电路调试遭遇问题:(1)在接报时部分的电路时,把CD4518的高位输出接成低位输出,达到整点时蜂鸣器没有发声。
(2)有时候数码管显示有点混乱。
具有整点报时功能的数字钟 电子设计
目录1 绪论 (1)2 设计主体 (1)2.1 振荡器 (1)2.1.1 555定时器的电路结构及工作原理 (2)2.1.2 用555定时器构成多谐振荡器 (4)2.2 分频器 (5)2.3 校正电路 (6)2.3.1 校“秒”电路 (7)2.3.2 校“分”电路 (7)2.3.3 校“时”电路 (8)2.4 整点报时电路 (8)2.5 计数器、译码器和显示器 (9)3 心得体会 (10)参考文献 (12)具有整点报时功能的数字钟1 绪论数字钟是集模拟技术与数字技术为一体的一种综合应用。
数字钟与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更长的使用寿命,因此得到了广泛的使用,数字电子钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序逻辑电路。
此次设计数字电子钟是为了了解数字电子钟的原理,从而学会制作数字电子钟,而且通过数字电子钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实现方法,且由于数字电子钟电路包括组合逻辑电路和时序逻辑电路,通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法。
2 设计主体数字钟是用计数器、译码器和显示器等集成电路实现“时”、“分”、“秒”按照数字方式显示的计数装置,主要由振荡器、分频器、校正电路、计数器、译码器和显示器六部分组成,如框图2-1所示。
图2-1 数字钟框图2.1 振荡器振荡器是数字钟的核心。
振荡器的稳定度及频率的精确度决定了数字钟计时的准确程度,通常选用石英晶体构成振荡器电路,也可以选择555定时器。
我在这里选择的是555定时器。
555定时器是一种应用极为广泛的中规模集成电路,因集成电路内部含有3个5KΩ电阻而得名。
该电路使用灵活、方便,只需接少量的阻容元件就可以构成施密特触发器、单稳态触发器和多谐振荡器,且价格便宜。
555定时器广泛应用于信号的产生、变换、控制与检测。
目前生产的555定时器有双极型和CMOS两种类型,主要厂商生产的产品有NE555、FX555、LM555和C7555等,它们的结构和工作原理大同小异,引出线也基本相同,有的还有双电路封装,称为556。
整点报时数字钟设计
实用标准电子电路课程设计报告题目:整点报时数字钟设计姓名:年级专业:学号:完成时间:目录一、设计任务与要求 (3)1设计任务 (3)2设计要求 (3)二、总体概要设计 (4)三、单元模块电路设计分析 (4)1时钟驱动脉冲产生模块 (4)2时间技术模块 (5)3校时模块 (6)4整点报时 (7)5显示选择模块 (9)6比较模块 (10)四、组装调试 (11)1使用的主要仪器及仪表 (11)2调试电路的方法和技巧 (11)3调试中出现的故障,原因及排除方法 (12)五、元器件清单 (12)六、设计总结及改进期望 (13)七、收获和体会 (13)八、参考文献 (14)一、设计任务与要求1设计任务数字钟一种用数字显示分,秒,时的即使装置,与传统的机械钟相比,它具有走势准确,显示直观,无需机械传动等有点。
因而得到了广泛的应用。
本次课程设计要求以中规模集成电路为主,利用所学知识,设计一个数字钟。
通过本次课程设计,进一步加强数字短路综合应用能力,掌握数字电路的设计技巧,增强实践能力,以及熟练掌握数字钟的系统设计,组装,调试及故障排除的方法。
2设计要求数字钟采用数码管显示。
显示范围0时0分00秒——23时59分59秒。
有校时功能,可以分别对时及单独校时,使其校正到标准时间;电路具有整点报时功能,报时声响为四低一高,最后一响正好为整点,并且要求走时准确。
画出电路原理图。
选择元器件及参数,列出有相关元器件的清单。
自行装配和调试,并能发现问题和解决问题。
编写设计报告,写出设计与制作的全过程附上有关资料和图纸,心得体会。
二、总体概要设计三、单元模块电路设计分析1时钟驱动脉冲产生模块时钟驱动脉冲产生模块是构成数字式时钟的核心,它产生一个矩形波时间基准源信号,其稳定性和频率精确度决定了计时的准确度,振荡频率越高,计时精度也就越高。
分频器采用计数器实现,以得到1s的标准秒脉冲。
通常,数字钟的晶体振荡器输出频率较高,为了得到1HZ的秒信号输入,需要对振荡器的输出信号进行分频。
整点报时数字钟电路设计
1VV课程设计说明书(2009 /2010 学年第一学期)课程名称:数字逻辑课程设计题目:整点报时数字钟电路设计专业班级:通信工程2班学生姓名:XXX学号:XXXXXXX指导教师:XX设计周数: 1设计成绩:2010年01月15 日目录1 设计目的 (3)2设计要求 (3)3数字钟的基本组成及工作原理 (4)3.1数字钟的构成 (4)四、数字钟的工作原理 (6)五、总体框图 (13)六、元器件及报表 (15)七、设计总结 (16)八、心得体会 (17)9参考文献 (18)1、课程设计目的 (1)2、设计要求 (1)3、数字钟的基本组成及工作原理 (1)3.1数字钟的构成3.2 单元电路设计4、数字钟的工作原理 (5)4.1晶体振荡器电路4.2分频器电路4.3时间计数器电路4.4译码驱动电路4.5数码管5、总体框图 (12)6、元器件及报表 (13)7、设计总结 (14)8、心得体会 (15)9、参考文献 (16)1 设计目的1.显示时、分、秒采用24小时进制。
2.具有校时功能,可以对小时和分单独校时,对分校验时的时候,停止分对时的进位。
3. 计时过程具有报时功能,当时间到达整点前10秒进行蜂鸣报时。
4为了保证计时准确、稳定,由晶体振荡器提供标准时间的基准信号。
2设计要求1、设计指标时间以24小时为一个周期;显示时、分、秒;具有校时功能,可以对小时和分单独校时,使其校正到标准时间,计时过程具有报时功能,当时间到达整点前10秒进行蜂鸣报时,为了保证计时准确、稳定,由晶体振荡器提供标准时间的基准信号。
2、设计要求画出电路原理图;元器件及参数选择。
3编写设计报告:写出设计与制作的全过程,附上相关资料和图片。
3数字钟的基本组成及工作原理3.1数字钟的构成电子钟由信号发生器、“时、分、秒”计数器、译码器及显示器、校时电路、整点报时电路等组成。
秒信号产生器是整个系统的时基信号,它直接决定计时系统的精度,将标准秒脉冲信号送入“秒计数器”,该计数器采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。
整点报时数字钟设计
整点报时数字钟设计随着时代的发展,流行于市场的钟表已从传统的机械钟表转变为数字式电子钟表。
数字式电子钟表大大提高了时间精度,并为人们带来了更加便利的使用体验。
然而,采用这种钟表需要特别注意的是,时刻要注意时间的走动,尤其是在许多场合下,如工作或学习中,需要有一款能够准确地报道时间的数字式电子钟表。
而本作品的整点报时数字钟设计,就是一款可以准确刻度时间,且更具音乐感和生活情致的电子钟表。
1. 设计思路我们最终设计产物的整点报时具有两大特点:一是时效性,即报时精准度高,可确保时间的同步;二是生活化,即通过更具音乐感和生活情致的方式,为用户带来更智能化的使用体验。
要达到这样的目标,我们在对市面现有数字式电子钟表的研究之后,结合了一些音乐元素和自然元素,包括音乐节奏、风铃的声响、鸟叫等元素,使得报时不再是单调的数字,而是融合了生活情致和音乐美的元素,更具有鲜活感、动感和律动感。
2. 设计结构整点报时数字钟表的机体主要以硬质方钢制作,设计上建议以角线为基座,以便于摆放和关注,同时便于整点报时的语音扩散。
时钟的底部会有音质强的喇叭,可保证报时时的语音声音清晰响亮。
整点报时的设计主要以人声报时为主,同时融合了自然元素。
例如,整点报时声音可以先是清脆悦耳的风铃声,然后缓慢地转入自然野外鸟鸣声,等到整点报时的时间来到,即可听到人声报时,并配合报时时刻的自然元素,如鸟鸣、风声、水声等等。
每当整点报时的时候,钟表的屏幕上会跳出一些短小的、浅色的动态图案,例如水滴、花朵、云朵等,以强化整点报时的视觉和整体氛围效果。
3. 设计功能整点报时数字钟表的功能非常多样化,除了传统的报时、时间设置、日期查询、闹钟等外,还可以设计一些更加细致的功能,比如定时播放,即在某个时候播放某个曲子,因此该数字钟还可有着音乐播放功能,用户可通过在工作上忙碌的时候,拥有一些音乐舒缓心情以及缓解疲劳的效果。
在音乐播放这个功能上,可以考虑先后加入美妙的钢琴曲、海浪声、电子音乐等,以及其它适合于不同情境使用的音乐元素,同时充分考虑到使用者的身体健康,可加入智能化的定时音乐享受,设定按需听音乐时间和音量大小等条件,以确保整点报时数字钟表的用户体验度和生活化程度。
智能闹钟整点报时系统的设计介绍
begin count <= 7"b0000000
end end
else if (count < 8"h60) begin
count <= count + 1 enmin_1 <= #100 1"b0 end
else begin
count <= 7"b0000000 end end end endmodule
always @(posedge clk or negedge reset) end
begin
else
if (reset == 1"b0)
begin
begin count <= 7"b0000000
end end
else if (count < 8"h60) begin
count <= count + 1 enhour_1 <= #100 1"b0 end else
分钟计数器
count <= 7"b0000000
module minute (clk, clk1, reset, sethouern,d
enhour, daout);
else
nput clk;
begin
input clk1;
if (count[3:0] == 4"b1001)
input reset;
题目:智能闹钟整点报时系统的设计
一、大作业摘要
– 普通的闹钟只能用来定时响铃,在快要到 时间的时候不能给人们提醒作用,是人们 心中没有那么强烈的时间观念,经常使人 猝不及防。那么,我想要解决的问题来了, 怎样才能让人们更清楚的确定目前处于的 时间段, 我在普通闹钟定时响铃的基础 上增加了整点报时的功能。
整点报时数字钟
数字钟一、设计任务本任务为:数字钟。
设计任务具体内容如下:基本设计任务依据命题题意,本设计采用89C51进行24小时计时并显示。
要求其显示时间范围是00:00:00~23:59:59,具备有时分秒校准功能。
数字钟上面要带有闹钟,闹钟与时钟之间能随时切换,闹钟具备时分秒设置功能。
控制设计任务由于本设计采用手动校准时钟与手动设置闹钟方案,所以要求用较少的按键来达到切换闹钟与时钟、时钟时分秒校准、闹钟时分秒设置等功能。
软件设计任务数字钟的所有计时都要由软件控制实现。
用软件对几个按键所得信号进行相应改变,以控制时钟与闹钟的显示。
通过软件对闹钟与时钟进行比较,当时钟所显示时间与闹钟一样时,要启动报时模块。
三、总体设计经过对各个方案分析比较,最终确定总方案如图3-1所示。
该系统所有模块都由主单片机控制。
其中,设计各个模块,包括单片机、显示模块、电机驱动、光电探测由四节AA电池供电。
电机驱动采用L298驱动芯片控制。
用光电传感器对边线的探测来控制距离。
通过单片机的机器周期计算时间计数周期,以达到计时目的。
图3-1 系统方案图系统硬件电路设计3.1.1 显示及控制模块图3-4 语音报时模块系统软件设计3.2.1 软件计时的分析与计算单片机内部定时器有4种工作模式,方式0是13位计数器,由于计时时间过短,中断频率高,所以不选用此模式;方式2是自动重装式计数器,是8位计数器,同样中断频率过高;方式3也是8位计数器;方式1是16位计数器,综合考虑,选用方式1做精确计时。
由于51单片机是12分频,因此机器周期=晶振频率/12。
在该设计中,选用频率为12MHz的晶振,因此机器周期=1μs。
定时1s需要1000000个机器周期,因此通过20次定时器中断完成1s的定时,每次完成50000个周期的定时,因此每次给定时器的初值应该是TH0=B0H,TL0=3CH。
3.2.2 系统软件设计设计两套存储方案,一套存储时钟,一套存储闹钟,两者互不干涉,只有当两者相等时才会调用闹钟播放子程序,而当每次整点时则会调用整点报时子程序。
整点报时数字钟
数字钟一、设计任务本任务为:数字钟。
设计任务具体内容如下:基本设计任务依据命题题意,本设计采用89C51进行24小时计时并显示。
要求其显示时间范围是00:00:00~23:59:59,具备有时分秒校准功能。
数字钟上面要带有闹钟,闹钟与时钟之间能随时切换,闹钟具备时分秒设置功能。
控制设计任务由于本设计采用手动校准时钟与手动设置闹钟方案,所以要求用较少的按键来达到切换闹钟与时钟、时钟时分秒校准、闹钟时分秒设置等功能。
软件设计任务数字钟的所有计时都要由软件控制实现。
用软件对几个按键所得信号进行相应改变,以控制时钟与闹钟的显示。
通过软件对闹钟与时钟进行比较,当时钟所显示时间与闹钟一样时,要启动报时模块。
三、总体设计经过对各个方案分析比较,最终确定总方案如图3-1所示。
该系统所有模块都由主单片机控制。
其中,设计各个模块,包括单片机、显示模块、电机驱动、光电探测由四节AA电池供电。
电机驱动采用L298驱动芯片控制。
用光电传感器对边线的探测来控制距离。
通过单片机的机器周期计算时间计数周期,以达到计时目的。
图3-1 系统方案图系统硬件电路设计3.1.1 显示及控制模块图3-4 语音报时模块系统软件设计3.2.1 软件计时的分析与计算单片机内部定时器有4种工作模式,方式0是13位计数器,由于计时时间过短,中断频率高,所以不选用此模式;方式2是自动重装式计数器,是8位计数器,同样中断频率过高;方式3也是8位计数器;方式1是16位计数器,综合考虑,选用方式1做精确计时。
由于51单片机是12分频,因此机器周期=晶振频率/12。
在该设计中,选用频率为12MHz的晶振,因此机器周期=1μs。
定时1s需要1000000个机器周期,因此通过20次定时器中断完成1s的定时,每次完成50000个周期的定时,因此每次给定时器的初值应该是TH0=B0H,TL0=3CH。
3.2.2 系统软件设计设计两套存储方案,一套存储时钟,一套存储闹钟,两者互不干涉,只有当两者相等时才会调用闹钟播放子程序,而当每次整点时则会调用整点报时子程序。
数字钟(闹钟+秒表+整点报时+校时)
实用文档总结设计报告数字钟2017-3-16自动化B鲁宇轩设计内容简介数字钟的主要由74160计数器、7447译码器和显示电路来实现基本功能,而校时、整点报时、秒表和闹钟电路实现其扩展功能。
整个电路的秒脉冲(即1HZ)由事先封装好的分频电路产生,秒脉冲送入74160计数器,‘秒’‘分’‘时’的计数器分别送到对应的译码器,然后再将信号送到显示电路显示时间。
本次数字钟的设计计时周期为24小时制,显示满刻度为23时59分59秒,星期显示方面为周一~周六+周日。
扩展功能的校时电路为自动校时,在校准‘分’‘时’显示后,可以在这个数的基础上继续运行,在整点报时功能中,当数字钟运行至整点前4秒时(例:23时59分56秒)即开始报时,持续5秒后停止,整点报时功能不可手动关闭。
秒表功能方面,设计给定脉冲为100HZ,为4位显示,最大设计计时为60.99秒,可以手动暂停/开始和清零。
最后是闹钟功能,闹钟设计响应时间为60秒,并且可以手动开启/关闭闹钟。
设计框图单元模块设计一、‘秒’电路秒电路由两片74161计数器加秒脉冲来实现60进制的计数,再通过两片7447译码器将信号给到显示模块来实现秒的功能。
二、‘分’电路‘分’电路和秒电路一样为60进制,由两片74160计数器和秒进位脉冲来实现分功能,再由7447译码器将信号给至显示电路三、‘时’电路‘时’电路和‘秒’‘分’电路有所不同,‘时’电路为24进制,可由两片74160计数器和分进位脉冲实现‘时’功能,再由译码器将信号给显示电路。
四、星期电路基本功能中星期显示最为复杂,因为计数器默认初始值为0,可能导致下载至实验箱初始星期显示为0,另外根据要求需显示星期一、二、三、四、五、六、日,又加大了星期电路的设计难度,为解决上述问题,此处采用逻辑门通过一些逻辑关系来实现星期功能。
通过真值表对应的关系,画出卡诺图,化简得到A、B、C、D与QA、QB、QC、QD对应的逻辑关系,虽然逻辑电路比较复杂,但是很好的解决了计数器默认初始值为0导致星期显示存在0的情况,并且实现了周六到周日到周一的完美跳转。
整点报时数字钟设计
信息与电气工程学院课程设计说明书课程名称:整点报时数字钟题目:整点报时数字钟专业班级:电气工程及其自动化07-05学生姓名:学号:指导教师:崔春艳设计周数:2周设计成绩:1. 课程设计目的………………………………………………………2 .课程设计的要求……………………………………………………3. 数字钟方案设计……………………………………………………3.1方案设计……………………………………………………………3.2数字钟逻辑框架图…………………………………………………4. 单元电路的设计和元器件的选择…………………………………4.1 时钟秒脉冲的产生…………………………………………………4.2 六十进制计数电路的设计…………………………………………4.3 双六十进制计数电路设计…………………………………………4.4 二十四进制计数电路的设计………………………………………4.5 译码驱动及显示单元电路设计……………………………………4.6 整点报时器单元电路………………………………………………4.7 校正单元电路的设计………………………………………………5.数字钟的PCB 板图的设计……………………………………………5.1PROTEL99的使用……………………………………………………5.2具体工艺要求和相关规则…………………………………………5.3 注意事项…………………………………………………………6.系统调试………………………………………………………………6.1 系统调试方法………………………………………………………6.2调试出现的问题及解决方法………………………………………7. 元器件清单…………………………………………………………8. 主要元件介绍………………………………………………………9. 课程设计总结和心得体会…………………………………………9.1 设计过程中遇到的问题及解决方法………………………………9.2 个人体会……………………………………………………………10. 参考文献……………………………………………………………附录……………………………………………………………………1 数字钟原理图………………………………………………………2 数字钟PCB板………………………………………………………课程设计评语表格……………………………………………………1 课程设计的目的(1)设计的目的数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。
数字钟电路设计(闪烁整点报时)(数电)
数字钟电路设计电气工程及其自动化苏盛指导老师曾繁政【引言】电子钟是一种利用数字电路来显示秒、分、时的计时装置,与传统的机械钟相比,它具有走时准确、显示直观、无机械传动装置等优点,因而得到广泛应用。
因此,时钟已不仅仅被看成一种用来显示时间的工具,在很多实际应用中它还需要能够实现更多其它的功能。
【内容摘要】数字时钟实际上是一个对标准频率(1HZ)进行计数的计数电路。
振荡器是数字时钟的核心,选用555定时器构成振荡器电路。
以计数器74LS90来实现时间计数单元的计数功能。
显示译码器74LS48将输入的8421BCD码转化成驱动数码管发光的高、低电平信号,驱动数码显示出不同的六、十和二十四进制数字符。
用门电路实现校时及整点报时电路。
时间以24小时为一周期。
【关键词】数字时钟,振荡器,校时,整点报时一、方案设计与论证论文采取理论分析和实践研究相结合的研究方案。
在理论分析上,论文主要结合数字电路的知识,涉及数字时钟电路的结构和原理分析;在实验验证方面,采用计算机模拟和实物实践的方法,应用PROTEL软件进行电路图设计和PCB的制作,使用元器件完成电路实物的安装,利用电子辅助工具对实物进行调试。
此方案已在毕业设计制作过程中得到论证。
(一)、设计目的数字时钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装置,具有更更长的使用寿命,节省了电能。
因此得到了广泛的使用。
数字时钟是一种典型的数字电路,包括了组合逻辑电路和时序电路。
通过设计加深对刚刚学习了的数字电子技术的认识。
我们此次设计数字时钟是为了了解数字时钟的原理,加深对我们所学知识的了解和认识、以及知识迁移的能力。
而且通过数字时钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法。
且由于数字时钟包括组合逻辑电路和时叙电路,通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法,以及各种电路之间的怎样联系起来的。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
课程设计评语表格……………………………………………………
1课程设计的目的
(1)设计的目的
数字钟是一种用数字电路技术实现时、分、秒计时的装置,与机械式时钟相比具有更高
的准确性和直观性,且无机械装置,具有更更长的使用寿命,因此得到了广泛的使用。数字钟从原理上讲是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。
3.1方案设计 ……………………………………………………………
3.2数字钟逻辑框架图 …………………………………………………
4. 单元电路的设计和元器件的选择 …………………………………
4.1 时钟秒脉冲的产生…………………………………………………
4.2 六十进制计数电路的设计…………………………………………
因此,我们此次设计数字钟就是为了了解数字钟的原理,从而学会制作数字钟。而且通过数字钟的制作进一步的了解各种在制作中用到的中小规模集成电路的作用及实用方法。且由于数字钟包括组合逻辑电路和时叙电路。通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法。
2课程设计的要求
(1)画出原理图或仿真图。
(4)译码器
译码器负责把由CD4510产生的二进制转换为八进制,从而实现驱动数码管。
(5)共阴极七段数码管
共阴极七段数码管负责把由CD4511产生的信号表示出来,从而实现整个电子时钟的显示功能。
(6)校时电路
校时电路负责对时钟的时间调整,以实现与标准时间一致。
(7)整点报时电路
整点报时电路负责整点报时,一般是报时结束时刚好是整点。
4.单元电路的设计和元器件的选择
4.1时钟秒脉冲的产生
为确保数字时钟走时准确及稳定,我们采用晶体振荡器给整点报时数字时钟提供一个频率稳定的方波信号。因此,我们采用了一个32768Hz的晶振、电阻、电容和CD4060分频器和74LS74D型触发器构成整个秒脉冲产生电路。其中由晶振产生的32768Hz输入到CD4060分频器,再由输出端Q14(即3脚)输出一个频率为2Hz的方波信号,但不能直接输到秒计数器。需要再经过74LS74D型触发器,它是上升沿触发,故由74LS74D型触发器输出端Q(即5脚)输出频率为1Hz的方波信号,此信号正可直接送到CD4510秒计数器CP脉冲的输入端CLK(即15脚)。连接如图4.1。
信息与电气工程学院
课程设计说明书
课程名称:整点报时数字钟
题目:整点报时数字钟
专业班级:电气工程及其自动化07-05
学生姓名:
学号:
指导教师:崔春艳
设计周数:2周
设计成绩:
1. 课程设计目的 ………………………………………………………
2 .课程设计的要求 ……………………………………………………
3. 数字钟方案设计 ……………………………………………………
一般在电子设计中,使用到的集成块,一类是由三极管组成,一类是由COMS组成,它们的工作电压不同。其中三极管的是5伏,COMS的是3-18伏。在本时钟设计中,我们用到的CD4510、CD4511、CD4060、74LS74、74LS08、74LS00中都有BJT和COMS组成,因此我们在设计中采用5伏电源供电。
(2)元器件及参数选择。
(3)设计的全过程,附上有关资料和图纸,有心得体会。
3数字钟方案设计
3.1数字钟的系统方案
数字钟实际上是一个对标准频率(1HZ)进行计数的计数电路。由于计数的起始时间不可能与标准时间(如北京时间)一致,故需要在电路上加一个校时电路,同时标准的1HZ时间信号必须做到准确稳定。为了保证计时的稳定及准确,通常使用精度比较高的石英晶体振荡器电路构成数字钟的频率发生器。
6.1 系统调试方法………………………………………………………
6.2调试出现的问题及解决方法 ………………………………………
7. 元器件清单…………………………………………………………
8. 主要元件介绍 ………………………………………………………
9. 课程设计总结和心得体会 …………………………………………
5.数字钟的PCB 板图的设计……………………………………………
5.1PROTEL99的使用 ……………………………………………………
5.2具体工艺要求和相关规则 …………………………………………
5.3 注意事项 …………………………………………………………
6.系统调试………………………………………………………………
4.3 双六十进制计数电路设计…………………………………………
4.4 二十四进制计数电路的设计………………………………………
4.5 译码驱动及显示单元电路设计……………………………………
4.6 整点报时器单元电路………………………………………………
4.7 校正单元电路的设计………………………………………………
4.2六十进制计数电路的设计
六十进制的电路可用于本电子数字时钟的分和秒。为了实现六十进制,我们采用了两块CD4510集成元件。该元件是一个能实现十进制的,类似于我们所学的74LS290,不过CD4510是异步清零且高电平有效,预置端高电平有效。而在这我们采用整体清零法,故把两个CD4510的全部预置端分别是P1、P2、P3、P4加上低电平(即4、12、13、3脚接地即可)。再把PSE、C1(即1、5脚接地),还有六十进制的个位上的RST(即9脚)也接上低电,两个U/D(即10脚)接高电平。最后从六十进制的十位上的四个输出端中,把Q2和Q3(即11、14脚)送进一个与门(即74LS08),再把与门输出端连到六十进制的十位上的RST(即标号为U13的CD4510的9脚),从而实现清零。电路连接如图4.2
3.2数字钟实现的功能如下:
(1)设计时间以24小时为一个周期;
(2)显示时、分、秒;
(3)具有校时功能,可以分别对时及分进行单独校时,使其校正到标准时间;
(4)计时过程具有报时功能,当时间到达整点前10秒进行蜂鸣报时;
3.3数字逻辑框架图
在数字时钟中总包括以下几部分。
(1)晶体振荡器 一般是产生一个高频率的脉冲信号(产生频率的大小由晶振电路决
定),本设计中路产生的高频率脉冲信号转换为低频率。本电子时钟所用的分频器是把频率为32768Hz转换为1Hz的方形脉冲信号。由CD4060和74LS74完成。
(3)计数器
计数器负责计数功能,也是时钟比较重要的部分。其中CD4510就是一个能实现十进制的计数器,我们把六个CD4510连接成两个60进制和一个24进制。
9.1 设计过程中遇到的问题及解决方法………………………………
9.2 个人体会……………………………………………………………
10. 参考文献……………………………………………………………
附录 ……………………………………………………………………
1 数字钟原理图………………………………………………………