2位二进制数据比较器实验报告

合集下载

《数字逻辑》实验组合逻辑电路实验

《数字逻辑》实验组合逻辑电路实验

《数字逻辑》实验组合逻辑电路实验组合逻辑电路实验一一、实验目的1、熟悉半加器、全加器的实验原理,学习电路的连接;2、了解基本74LS系列器件(74LS04、00、32)的性能;3、对实验结果进行分析,得到更为优化的实验方案。

二、实验内容1、按照实验原理图连接电路。

2、实验仪器:74LS系列的芯片、导线。

实验箱内的左侧提供了插放芯片的地方,右侧有控制运行方式的开关KC0、KC1及KC2。

其中KC1用来选择实验序号。

序号为0时,手动进行。

自动运行时按加、减选择所做实验的序号。

试验箱内有分别用于手动和自动实验的输入的控制开关Kn和Sn。

3、三、实验原理实验原理图如下:四、实验结果及分析1、将实验结果填入表1-11-1 表2、实验结果分析由实验结果可得半加和:Hi=Ai⊕Bi 进位:Ci=AiBi则直接可以用异或门和与门来实现半加器,减少门的个数和级数,提高实验效率。

实验二全加器一、实验目的1、掌握全加器的实验原理,用简单的与、或非门来实现全加器的功能。

2、分析实验结果,得到全加器的全加和和进位的逻辑表达式,根据表达式用78LS138和与、或、非门来实现全加器。

二、实验内容同半加器的实验,先采用手动方式,再用自动方式。

用自动方式时选实验序号2。

三、实验原理四、实验结果及其分析表1-2 2、实验结果分析从表1-2中的实验结果可以得到:Si=AiBiCi?1+AiBiCi?1+AiBiCi-1=Ai?Bi?Ci-1Ci=AiBi+AiCi-1+BiCi-1故Si=?m(1,2,4,7) Ci=?m(3,5,6,7)因此可用三—八译码器74LS138和与非门实现全加器,逻辑电路图如下:实验三三—八译码器与八—三编码器一、实验目的1、进一步了解译码器与编码器的工作原理,理解译码和编码是相反的过程。

2、在连接电路时,注意译码器74LS138和编码器74LS148使能端的有效级,知道两者的区别。

3、通过实验理解74LS148是优先权编码器。

电压比较器实验报告

电压比较器实验报告

实验报告课程名称:电路与电子技术实验指导老师:成绩:实验名称:电压比较器及其应用实验类型:电子电路实验同组学生姓名:一、实验目的二、实验内容三、主要仪器设备四、实验数据记录、处理与分析五、思考题及实验心得一、实验目的1.了解电压比较器与运算放大器的性能区别;2.掌握电压比较器的结构及特点;3.掌握电压比较器电压传输特性的测试方法;4.学习比较器在电路设计中的应用。

二、实验内容及原理实验内容1.设计过零电压比较器电路,反相输入端接地,同相输入端接1kHz、1V正弦波信号,测量并绘制输出波形和电压传输特性曲线。

2.设计单门限电压比较器电路,同相输入端接1V直流电压,反相输入端接1kHz、1V正弦波信号,测量3.并绘制输出波形和电压传输特性曲线。

4.设计反相输入(下行)滞回电压比较器,反相输入端接1kHz、1V正弦波信号,测量并绘制输出波形和电压传输特性曲线。

5.设计窗口电压比较器电路,输入为1kHz、5V三角波信号,设置参考电压Vref1为1V直流电压,参考电压Vref2为4V直流电压,测量并绘制输出波形和电压传输特性曲线。

6.设计三态电压比较器电路,输入电压信号Vin为1kHz、5V三角波信号,当输入Vin<Vref2时,输出Vout=VOL;Vin<Vref1时,输出Vout=VOH。

实验原理电压比较器(简称为比较器)是对输入信号进行鉴幅和比较的集成器件,它可将模拟信号转换成二值信号,即只有高电平和低电平两种状态的离散信号。

可用作模拟电路和数字电路的接口,也可用作波形产生和变换电路等。

比较器看起来像是开路结构中的运算放大器,但比较器和运算放大器在电气性能参数方面有许多不同之处。

运算放大器在不加负反馈时,从原理上讲可以用作比较器,但比较器的响应速度比运算放大器快,传输延迟时间比运算放大器小,而且不需外加限幅电路就可直接驱动TTL、CMOS等数字集成电路。

但在要求不高情况下也可以考虑将某些运算放大器(例如:LM324、LM358、μA741、TL081、OP07、OP27等)当作比较器使用。

数字逻辑实验报告

数字逻辑实验报告

数字逻辑实验报告数字逻辑实验报告引言数字逻辑是计算机科学中的重要基础知识,通过对数字信号的处理和转换,实现了计算机的高效运算和各种复杂功能。

本实验旨在通过实际操作,加深对数字逻辑电路的理解和应用。

实验一:二进制加法器设计与实现在这个实验中,我们需要设计一个二进制加法器,实现两个二进制数的加法运算。

通过对二进制数的逐位相加,我们可以得到正确的结果。

首先,我们需要将两个二进制数输入到加法器中,然后通过逻辑门的组合,实现逐位相加的操作。

最后,将得到的结果输出。

实验二:数字比较器的应用在这个实验中,我们将学习数字比较器的应用。

数字比较器可以比较两个数字的大小,并输出比较结果。

通过使用数字比较器,我们可以实现各种判断和选择的功能。

比如,在一个电子秤中,通过将待测物品的重量与设定的标准重量进行比较,可以判断物品是否符合要求。

实验三:多路选择器的设计与实现在这个实验中,我们需要设计一个多路选择器,实现多个输入信号中的一路信号的选择输出。

通过使用多路选择器,我们可以实现多种条件下的信号选择,从而实现复杂的逻辑控制。

比如,在一个多功能遥控器中,通过选择不同的按钮,可以控制不同的家电设备。

实验四:时序电路的设计与实现在这个实验中,我们将学习时序电路的设计与实现。

时序电路是数字逻辑电路中的一种重要类型,通过控制时钟信号的输入和输出,实现对数据的存储和处理。

比如,在计数器中,通过时序电路的设计,可以实现对数字的逐位计数和显示。

实验五:状态机的设计与实现在这个实验中,我们将学习状态机的设计与实现。

状态机是一种特殊的时序电路,通过对输入信号和当前状态的判断,实现对输出信号和下一个状态的控制。

状态机广泛应用于各种自动控制系统中,比如电梯控制系统、交通信号灯控制系统等。

实验六:逻辑门电路的优化与设计在这个实验中,我们将学习逻辑门电路的优化与设计。

通过对逻辑门电路的布局和连接方式进行优化,可以减少电路的复杂性和功耗,提高电路的性能和可靠性。

实验二 组合逻辑电路设计

实验二 组合逻辑电路设计

实验五组合逻辑电路设计(此项实验为设计性实验)设计性综合实验要求:1.根据设计任务要求,从单元电路的设计开始选择设计方案。

根据设计要求和已知条件,计算出元件参数,并选择合适的元件,最后画出总电路图。

2.通过安装调试,实现设计中要求的全部功能。

3.写出完整的设计性综合实验报告,包括调试中出现异常现象的分析和讨论。

一、实验目的1. 掌握组合逻辑电路的设计方法。

2. 能够熟练的、合理的选用集成电路器件。

3.提高电路布局、布线及检查和排除故障的能力。

4.培养书写设计性综合实验报告的能力。

二、设计任务与要求1.设计一个一位半加器和全加器。

2.设计一个对两个两位无符号的二进制数M、N比较大小的电路(只要求设计出M>N的电路)。

3.对所设计电路进行连接、验证,并写出结果。

三、实验原理及参考电路组合逻辑电路是最常见的逻辑电路,其特点是在任何时刻电路的输出信号仅取决于该时刻的输入信号,而与信号作用前电路原来所处的状态无关。

组合逻辑电路设计的一般步骤如图5-1所示。

图5-1 组合逻辑电路设计流程图根据设计任务的要求建立输入、输出变量,并列出真值表,然后用逻辑代数或卡诺图化简法求出简化的逻辑表达式,并按实际选用逻辑门的类型修改逻辑表达式。

根据简化后的逻辑表达式,画出逻辑图,用标准器件构成逻辑电路。

最后用实验来验证设计的正确性。

- 19 -1.组合逻辑电路的设计过程用“与非”门设计一个表决电路。

当四个输入端中有三个或四个为“1”时,输出端才为“1”。

设计步骤:a.根据题意列出真值表如表5-1所示,再填入卡诺图表5-2中。

b.由卡诺图得出逻辑表达式,并简化成“与非”的形式Y=ABC+BCD+ACD+ABD=)′)′()′()′()′((ABCACDBCDABCc.根据逻辑表达式画出用“与非门”构成的逻辑电路如图5-2所示。

表5-1表5-2d.用实验验证逻辑功能在实验装置适当位置选定三个14P插座,按照集成块定位标记插好所选集成块。

数字逻辑实验报告 【个人完成版】

数字逻辑实验报告 【个人完成版】
掌握了上述的分析方法和设计方法,即可对一般电路进行分析、设计,从而可以正确地使用被分析的电路以及设计出能满足逻辑功能和技术指标要求的电路。
3)全加器/全减器相对半加器/半减器而言,考虑了进位/借位的情况,因此,输入端分别有三个,An(被加数/被减数),Bn(加数/减数)和Cn-1(低一位的进位/借位)。
1
0
0

0
1
1
0

1
0
0
0

1
0
1
0

1
1
0
0

1
1
1
0

0
0
0
1

0
0
1
1

0
1
0
1

0
1
1
1

1
0
0
1

1
0
1
1

1
1
0
1

1
1
1
1

【实验结论】
74153双4选1数据选择器提供了很方便的数据选择,很好的验证了3、4人判决电路。
通过对数值比较器和数据选择器的测试,设计和实现,对集成电路数值比较器和数据选择器有了一定的熟悉,为进一步借助数值比较器和数据选择器的实验打下了基础。
3)用7400、7404、7432实现上题的多数表决器。
由:
可以设计电路图如下:
P1
P2
Y
P3Y
思考:如何设计一个2位数值比较器电路?
设计一个二位数值比较器
真值表:
A0
B0
A1
B1

比较器、全加器的功能测试及其应用

比较器、全加器的功能测试及其应用

本科学生设计性实验报告项目组长学号成员专业班级实验项目名称指导教师及职称开课学期至学年学期上课时间年月日实验名称:比较器、全加器的功能测试及其应用实验时间:2015.12小组合作:是○否○小组成员:1、实验目的:掌握集成比较器、全加器74LS85和74LS283的功能测试。

2、实验场地及仪器、设备和材料数据实验箱、74LS85、74LS283、74LS00等。

3、实验思路(实验内容、数据处理方法及实验步骤等)一、实验内容:(1)加法器、比较器、数据选择器功能测试;(2)用门电路设计一个二进制量值比较器,并测试其功能。

(3)用74LS85设计一个八位电子锁电路,并测试其功能。

(4)利用四位集成全加器74LS283设计一个BCD码加法器。

二、实验步骤:1.(1)完成集成比较器74LS85的逻辑功能测试。

下图是74LS85得引脚图和功能表。

(2)完成四位加法器74LS283的逻辑功能测试;下图是74LS283的引脚图和功能示意图。

下图和下表是74LS283功能表。

2.根据比较器的功能用门电路设计出逻辑图如下:二、实验结果与分析本科学生设计性实验报告项目组长学号成员专业班级实验项目名称指导教师及职称开课学期至学年学期上课时间年月日二、实验结果与分析本科学生设计性实验报告项目组长学号成员专业班级实验项目名称指导教师及职称开课学期至学年学期上课时间年月日二、实验结果与分析本科学生设计性实验报告项目组长学号成员专业班级实验项目名称指导教师及职称开课学期至学年学期上课时间年月日二、实验结果与分析本科学生设计性实验报告项目组长学号成员专业班级实验项目名称指导教师及职称开课学期至学年学期上课时间年月日二、实验结果与分析(范文素材和资料部分来自网络,供参考。

可复制、编制,期待你的好评与关注)。

数字逻辑电路实验

数字逻辑电路实验

1.1 数电实验仪器的使用及门电路逻辑功能的测试1.1.1 实验目的(1)掌握数字电路实验仪器的使用方法。

(2)掌握门电路逻辑功能的测试方法。

1.1.2 实验设备双踪示波器一台数字电路实验箱一台万用表一块集成芯片:74LS00、74LS201.1.3 实验原理图1.1是TTL系列74LS00(四2输入端与非门)的引脚排列图。

Y A B其逻辑表达式为:=⋅图1.2是TTL系列74LS20(双4输入端与非门)的引脚排列图。

Y A B C D其逻辑表达式为:=⋅⋅⋅与非门的输入中任一个为低电平“0”时,输出便为高电平“1”。

只有当所有输入都为高电平“1”时,输出才为低电平“0”。

对于TTL逻辑电路,输入端如果悬空可看作逻辑“1”,但为防止干扰信号引入,一般不悬空。

对于MOS逻辑电路,输入端绝对不允许悬空,因为MOS电路输入阻抗很高,受外界电磁场干扰的影响大,悬空会破坏正常的逻辑功能,因此使用时一定要注意。

一般把多余的输入端接高电平或者和一个有用输入端连在一起。

1.1.4 实验内容及步骤(1)测量逻辑开关及电平指示功能用导线把一个数据开关的输出端与一个电平指示的输入端相连接,将数据开关置“0”位,电平指示灯应该不亮。

将数据开关置“1”位,电平指示灯应该亮。

以此类推,检测所有的数据开关及电平指示功能是否正常。

(2)检测脉冲信号源给示波器输入脉冲信号,调节频率旋钮,可观察到脉冲信号的波形。

改变脉冲信号的频率,示波器上的波形也应随之发生变化。

(3)检测译码显示器用导线将四个数据开关分别与一位译码显示器的四个输入端相连接,按8421码进位规律拨动数据开关,可观察到译码显示器上显示0~9十个数字。

(4)与非门逻辑功能测试①逻辑功能测试将芯片74LS20中一个4输入与非门的四个输入端A、B、C、D分别与四个数据开关相连接,输出端Y与一个电平指示相连接。

电平指示的灯亮为1,灯不亮为0。

根据表1.1中输入的不同状态组合,分别测出输出端的相应状态,并将结果填入表中。

2位二进制数据比较器实验报告

2位二进制数据比较器实验报告

2位二进制数据比较器实验报告一实验目的1. 熟悉Quartus II 软件的基本操作2. 学习使用Verilog HDL进行设计输入3. 逐步掌握软件输入、编译、仿真的过程二实验说明口A、B,每个端口的数据宽度为2 ,分别设为AO、A1和B0 B1、A0 B0为数据低位,、B1为数据高位。

电路的输出端口分别为EQ(A=B的输出信号)、LG(A>B时的输出信号)和SM (A<B的输出信号)。

2位二进制数据比较器真值表EQ = A0 ■ A1 ■ B0 ■ Bl + A0 ■ Al * BO * B1 4- AO * Al - BO ・Bl + A0 • Al • B0 • Bl |LG = AO * BO - Bl + AO ■ Al ■ BO 4- Al ■ Bl|SM = AO BO BL 4-AO-Al BO + Al Bl三实验要求1、完成2位二进制数据比较器的Verilog HDL程序代码输入并进行仿真2、采用结构描述方式和数据流描述方式3、完成对设计电路的仿真验证四、实验过程1程序代码⑴module yan gyi ng(A,B,EQ, LG,SM); in put [1:0]A,B; output EQ,LG,SM;assig n EQ=(A==B)?1'b1:1'bO;assign LG=(A>B)?1'b1:1'bO;assign SM=(A<B)?1'b1:1'bO;en dmodule⑵module yan gyi ng(A,B,EQ, LG,SM); in put [1:0]A,B; output EQ,LG,SM; reg EQ,L G,SM;always@(A or B)beginif(A==B)beginEQ<=1'b1;LG<=1'b1;SM<=1'b1;endelse if(A>B) beginEQ<=1'b1;LG<=1'b0;SM<=1'b0;endelsebeginEQ<=1'b0;LG<=1'b0;SM<=1'b1;endend en dmodule2仿真结果五、实验体会通过2位二进制数据比较器的设计,使我们更加熟悉Quartus软件进行数字系统设计的步骤,以及运用Verilog HDL进行设计输入,并掌握2位二进制数据比较器的逻辑功能和设计原理,逐步理解功能仿真和时序仿真波形。

数字电路二位数值比较器

数字电路二位数值比较器

数字电子技术基础课程设计报告书题目:2位数值比较器姓名:班级:指导教师:设计时间:2011年3月— 7月民族大学数学与计算机学院一、背景和编写目的随着时代的进步,社会的发展,科学技术的进步,我们会在很多地方用到比较器,比如,在体育竞技场地对一些选手的成绩进行比较,选出他们中的成绩优异者;我们为了比较一下不同物品的参数,我们可以利用一些科学技术来实现这些功能,使得我们的工作效率得以提高,减少了我们认为的工作量。

本次设计的目的就是通过实践掌握数字电路的分析方法和设计方法,了解了解EDA技术和maxplus2软件并掌握VHDL硬件描述语言的设计方法和思想。

以数字电子技术基础为指导,通过学习的VHDL语言结合电子电路的设计知识理论联系实际,掌握所学的课程知识和基本单元电路的综合设计应用。

通过对比较器的设计,巩固和综合运用所学知识,提高分析、解决计算机技术实际问题的独立工作能力。

比较器有2位数比较器,4位数比较器,8位数比较器等多种。

本课程设计就是两位数比较器,可以实现2位二进制数值的比较。

二、EDA和VHDL的介绍EDA技术EDA技术的概念EDA是电子设计自动化(E1echonics Des5p AM•toM60n)的缩写。

由于它是一门刚刚发展起来的新技术,涉及面广,内容丰富,理解各异。

从EDA技术的几个主要方面的内容来看,可以理解为:EDA技术是以大规模可编程逻辑器件为设计载体,以硬件描述语言为系统逻辑描述的主要表达方式,以计算机、大规模可编程逻辑器件的开发软件及实验开发系统为设计工具,通过有关的开发软件,自动完成用软件的方式设计电子系统到硬件系统的一门新技术。

EDA技术的特点采用可编程器件,通过设计芯片来实现系统功能。

采用硬件描述语言作为设计输入和库(LibraLy)的引入,由设计者定义器件的内部逻辑和管脚,将原来由电路板设计完成的大部分工作故在芯片的设计中进行。

由于管脚定义的灵活性,大大减轻了电路图设计和电路板设计的工作量和难度,有效增强了设计的灵活性,提高了工作效率。

EDA实验报告

EDA实验报告

实验三: 二位比较器的设计与实现一.实验简介:这个实验将指导你通过使用ISE软件进行简单的二位比较器的设计与实现。

二.实验目的:•使用ISE软件设计并仿真。

•学会程序下载。

三.实验原理:1.ISE软件是一个支持数字系统设计的开发2.用ISE软件进行设计开发时基于相应器件型号的。

注意:软件设计时选择的器件型号是与实际下载板上的器件型号相同。

3.图2-1所示为二位比较器的真值表,本实验中用Verilog语句来描述。

b[1] b[0] a[1] d[0]0 0 0 00 0 0 10 0 1 00 0 1 10 1 0 00 1 0 10 1 1 00 1 1 11 0 0 01 0 0 11 0 1 01 0 1 11 1 0 01 1 0 11 1 1 01 1 1 1a_eq_b a_gt_b a_lt_b1 0 00 1 00 1 00 1 00 0 11 0 00 1 00 1 00 0 10 0 11 0 00 1 00 0 10 0 10 0 11 0 0四.实验步骤:1.新建工程(1)双击桌面上“”图标,启动ISE软件(也可从开始菜单启动)。

每次打开ISE都会默认恢复到最近使用过的工程界面。

当第一次使用时,由于还没有历史工程记录,所以工程管理区显示空白。

选择File—New Project选项,在弹出的对话框中输入工程名称并指定工程路径。

(2)点击Next按钮进入下一页,选择所使用的芯片及综合、仿真工具。

计算机上安装的所有用于仿真和综合的第三方EDA工具都可以在下拉菜单中找到。

在图中我们选用了Spartan6 XC6SLX16芯片,采用CSG324封装,这是NEXYS3开发板所用的芯片。

另外,我们选择Verilog作为默认的硬件描述语言。

(3)再点击Next按钮进入下一页,这里显示了新建工程的信息,确认无误后,点击Finish 就可以建立一个完整的工程了。

(1)在工程管理区任意位置单击鼠标右键,在弹出的菜单中选择New Source命令,选择Verilog Module输入,并输入Verilog文件名。

组合逻辑电路实验报告

组合逻辑电路实验报告

组合逻辑电路实验报告一实验目的和实验要求:1、了解全加器的工作原理及其典型的应用,并验证4位全加器功能。

2、了解和掌握数字比较器的工作原理及如何比较大小。

3、了解和掌握译码器的工作原理,并测试其逻辑功能。

4、了解和掌握编码器的工作原理,并测试其逻辑单元。

5、了解和掌握数码选择器的工作原理及逻辑功能。

二实验方案:器件:8-3编码器74HC148 3-8译码器74HC138 4选1数据选择器74HC153 4位数字比较器74HC85 4位全加器74HC283在GDUT-J-1 数字电路试验箱中使用以上芯片,按照实验书连接好线路,通过拨码开关和LED开关来模拟逻辑输入和逻辑输出,观察LED灯的亮灭来判断逻辑状态,完成对应芯片的输入输出状态表(及真值表)来得出芯片的逻辑表达式。

三实验结果和数据处理:74HC148输入输出状态控制十进制数字信号输入二进制数码输入状态输出E1 I0 I1 I2 I3 I4 I5 I6 I7 A1 A2 A3 GS EO1 X X X X X X X X 1 1 1 1 10 1 1 1 1 1 1 1 1 1 1 1 1 00 X X X X X X X 0 0 0 0 0 10 X X X X X X 0 1 0 0 1 0 10 X X X X X 0 1 1 0 1 0 0 10 X X X X 0 1 1 1 0 1 1 0 10 X X X 0 1 1 1 1 1 0 0 0 10 X X 0 1 1 1 1 1 1 0 1 0 10 X 0 1 1 1 1 1 1 1 1 0 0 10 0 1 1 1 1 1 1 1 1 1 1 0 174HC138输入输出状态使能输入数据输入译码输入E1^ E2^ E3 A2 A1 A0 Y0^ Y1^ Y2^ Y3^ Y4^ Y5^ Y6^ Y7^ 1 X X X X X 1 1 1 1 1 1 1 1X 1 X X X X 1 1 1 1 1 1 1 1X X 0 X X X 1 1 1 1 1 1 1 10 0 1 0 0 0 0 1 1 1 1 1 1 10 0 1 0 0 1 1 0 1 1 1 1 1 10 0 1 0 1 0 1 1 0 1 1 1 1 10 0 1 0 1 1 1 1 1 0 1 1 1 10 0 1 1 0 0 1 1 1 1 0 1 1 10 0 1 1 1 0 1 1 1 1 1 1 0 10 0 1 1 1 1 1 1 1 1 1 1 1 0 (^表示逻辑非)74HC153输入输出状态选择输入数据输入输出使能输入输出S1 S0 II0 II1 II2 II3 1E^ 1Y X X X X X X 1 00 0 0 X X X 0 00 0 1 X X X 0 11 0 X X 0 X 0 01 0 X X 1 X 0 10 1 X 0 X X 0 00 1 X 1 X X 0 11 1 X X X 0 0 01 1 X X X 1 0 1 (^表示逻辑非)74HC85输入输出状态比较输入级联输入输出A3 A2 A1 A0 B3 B2 B1 B0 1A>B 1A<B 1A=B A>B A<B A=B 1 X X X 0 X X X X X X 1 0 00 X X X 1 X X X X X X 0 1 01 1 X X 1 0 X X X X X 1 0 00 0 X X 0 1 X X X X X 0 1 01 0 1 X 1 0 0 X X X X 1 0 00 0 0 X 0 0 1 X X X X 0 1 01 1 0 1 1 1 0 0 X X X 1 0 00 0 1 0 0 0 1 1 X X X 0 1 01 1 0 1 1 1 0 1 0 0 0 1 1 00 1 0 0 0 1 0 0 0 0 1 0 0 11 1 0 1 1 1 0 1 1 0 0 1 0 00 0 0 0 0 0 0 0 1 0 1 0 0 11 1 1 1 1 1 1 1 X 1 X 0 1 0X 1 X 0 0 0X 1 X 0 0 174HC283输入输出状态4位加数输入4位被加数输入输出加法结果和进位A4 A3 A2 A1 B4 B3 B2 B1 COUT S4 S3 S2 S11 1 1 1 1 1 1 1 1 1 1 1 0 0 1 1 1 0 0 1 0 0 1 0 0 1 0 1 0 0 0 1 1 0 0 1 0 1 00 1 0 1 0 1 1 1 0 1 1 0 01 0 0 0 0 1 1 1 0 1 1 1 1 1 0 0 1 1 0 0 1 1 0 0 1 0四结论:1、74HC148编码器编码输入低电平有效;编码输出是反码;当E1=0时编码器处于工作状态,E1=1时编码器处于禁止状态。

逻辑门电路实验报告

逻辑门电路实验报告

HUBEI NORMAL UNIVERSITY
电工电子实验报告
实验逻辑门电路
一、实验目的
1、学习分析基本的逻辑门电路的工作原理;
2、学习各种常用时序电路的功能;
3、了解一些常用的集成芯片;
4、学会用仿真来验证各种数字电路的功能和设计自己的电路。

二、实验环境
Multisim 8
三、实验内容
1、与门电路
按图连接好电路,将开关分别掷向高低电平,组合出(0,0)(1,0)(0,1)(1,1)状态,通过电压表的示数,看到与门的输出状况,验证表中与门的功能:
结果:(0,0)
(0,1)
(1,0)
(1,1)
2、半加器
(1)输入/输出的真值表
半加器测试电路:
=AB。

逻辑表达式:S= A B+A B=A⊕B;C
i
3、全加器
(1)输入输出的真值表
⊕⊕i-1;C i=AB+C i-1(A⊕B)(2)逻辑表达式:S=A B C
(3)全加器测试电路:
4、比较器
(1)真值表
(2)逻辑表达式:
Y1=A B;Y2=A B;Y3=A B。

(3)搭接电路图,如图:
1位二进制数比较器测试电路与结果:
四、实验总结
1、组合逻辑电路的输出只由输入决定;
2、通过真值表和电路图的比较可以看出无论是真值表还是逻辑门电路都可以很好的表示电路输入与输出的关系。

基于VHDL的数值比较器、数据选择器、移位寄存器、60进制计数器、复杂ALU设计实验报告

基于VHDL的数值比较器、数据选择器、移位寄存器、60进制计数器、复杂ALU设计实验报告

基于VHDL的数值比较器、数据选择器、移位寄存器、60进制计数器、复杂ALU设计实验报告VHDL实验报告班级:电子学号:姓名:2014/5/23Experiment 1 两位二进制数的大小比较器一、实验目的:(1)熟悉QuartusII的开发环境、熟练掌握编程开发流程。

(2)学习VHDL的基本语法及编程设计。

二、实验内容:数值比较器设计三、实验要求:(1)熟练掌握QuartusII开发环境下对可编程逻辑器件进行程序化设计的整套流程;2)设计输入使用插入语言模板(Insert Template); ((3)在QuartusII开发环境下对设计程序进行时序仿真,将生成的配置文件下载到实验板,进行最终的实物测试验证。

四、实验原理:根据两位二进制数的大小得到对应的比较结果,其电路示意图及电路特性表为: 比较器特性表A B In_s In_l In_e 比较器电路示意图YsYe YlY A > B × × × 0 0 1A[3:0] Yl Number B[3:0] A < B × × × 1 0 0 Ye A = B 0 1 0 0 0 1 In_sYs Comparer In_l A = B 1 0 0 1 0 0 In_e A = B 0 0 1 0 1 0 A = B 0 0 0 × × × A = B × 1 1 × × × A = B 1 × 1 × × × A = B 1 1 × × × ×五、程序编写、调试及仿真(芯片型号:MAX?系列EPM1270T144C5) (1)程序编写:library ieee;use ieee.std_logic_1164.all;2entity Vhdl1 isport(a,b:in std_logic_vector(3 downto 0);ins,inl,ine: in std_logic;ys,ye,yl: out std_logic);end Vhdl1;architecture one of Vhdl1 issignal temps,tempe:std_logic; beginys<=temps;ye<=tempe;yl<=temps nor tempe;process(a,b,ine)beginif (a=b and ine='1')thentempe<='1';elsetempe<='0';end if;end process;process(a,b,ins)beginif(a<b) thentemps<='1';elsif(a=b and ins='1') then temps<='1';elsetemps<='0';end if;end process;end one;(2)功能仿真:3(3)芯片引脚设定:(4)适配下载结果六、结果分析本实验实现了两位二进制数的比较。

Candence课程设计——2位数值比较器解析

Candence课程设计——2位数值比较器解析

集成电路设计论文论文题目:2位数值比较器姓名:陈英文学号:1020630126学院:机械与电子工程学院专业:电子科学与技术班级:10206301指导教师:蔡老师一、课程实验设计目的本次课程设计把重点放在电路的设计、制作和仿真上,熟悉在UNIX系统下Candencce软件的使用,掌握电路原理图的输入和编辑及电路的仿真。

在数字系统中,特别是在计算机中都需具有运算功能,一种简单的运算就是比较两个数A和B的大小。

用以对两数A、B的大小或是否相等进行比较的逻辑电路称为数值比较器。

比较结果有A>B、A<B以及A=B三种情况。

二、实验原理1.数值比较器分类1位数值比较器:比较输入的两个1位二进制数A、B的大小多为数值比较器:比较输入的两个多位二进制数A、B 的大小,比较时需从高位到低位逐位进行比较。

2. 1位数值比较器设输入的两个二进制数位A、B,输出比较的结果为Y(A>B)、Y(A<B)、Y(A=B)三种情况。

输出时为1,否则为0。

逻辑符号示意框图:Y(A>B)Y(A=B)Y(A<B)2.1 真值表如下:输入输出A B Y(A>B)Y(A=B)Y(A<B)0 0 0 1 00 1 0 0 11 0 1 0 01 1 0 1 02.2根据真值表可写出逻辑函数表达式为2.3 逻辑图如下所示:3. 2位数值比较器3.1 定义:比较两个2 位二进制数的大小的电路3.2 基础:2位数值比较器是在一位数值比较器上,加上3个与门和2个或门构成的。

3.3 输入:两个2位二进制数A=A1 A0 、B=B1 B03.4 原理:当高位(A1、B1)不相等时,无需比较低位(A0、B0),高位比较的结果就是两个数的比较结果。

当高位相等时,两数的比较结果由低位比较的结果决定。

3.5 真值表3.6 根据真值表可写出逻辑函数表达式为FA>B = (A1>B1) + ( A1=B1)(A0>B0)FA<B = (A1<B1) + ( A1=B1)(A0<B0)0 0 10 1 01 0 0A0 > B0 A0 < B0 A0 = B0A1 = B1 A1 = B1 A1 = B1 0 1 0 × A1 < B1 01×A1 > B1 FA=B FA<B FA>B A0 B0 A1 B1 输 出输 入FA=B=(A1=B1)(A0=B0)3.7两位数值比较器逻辑图3.7 两位数值比较器逻辑图A 1B 1A 0B 01位数值比较器1位数值比较器A 1>B 1A 1=B 1 A 1<B 1 A 0>B 0A 0=B 0 A 0<B 0G 1 G 2 &&G 3 & ≥1≥1F A >BF A=BF A <B三、实验步骤1. 画原理图及创建符号1.1 调用cadence软件进入UNIX系统后,输入icfb 命令调用cadence软件。

VHDL语言实验指导书

VHDL语言实验指导书
其中普通编码器对于某一给定时刻只能对一个输入信号进行编码而优先编码器的输入端允许同一时刻出现两个或两个以上的信号编码器根据事先规定的优先级对其中优先级最高的输入信号进行编码
浙江工商大学计算机与信息工程学院 开放实验项目实验指导书
基于 VHDL 的数字逻辑电路设计
指导教师:
傅均
开放地点: 信息楼 119 室
图 1. HST 实验板及包含硬件资源
《基于 VHDL 的数字逻辑电路设计》实验指导书 傅均 V3.0
第2页
图 2. EPM240T100C5 芯片引脚和对应板上资源连接
注意 1: CPLD 的第 9、13、31、45、59、63、80、94 引脚已经接 Vcc 3V;CPLD 的第 10、11、32、46、60、65、79、93 引脚已经接 GND 0V。CPLD 的第 22、 23、24、25 引脚已经用于 JTAG 下载器连接。第 64 引脚已经设置为时钟输入 GCLK3(11MHz)。
开放时间: 第 11-15 周三 10-12 节
电子邮箱: junfu@mail.
2012 年 4 月-6 月 版本 V3.0
目录
1、实验说明和注意事项………………………………………………...(1) 2、实验设备与资源介绍………………………………………………...(1) 3、实验内容与要求…………………………………………………...…(4)
实验一 常用组合逻辑电路设计
一、 实验目的
1 .初步掌握 VHDL 语言的基本单元及其构成。 2 .了解 VHDL 中的顺序语句和并行语句,掌握 process 语句、信号赋值语句等。 3 .学习 Quartus II 9.1 软件的基本操作,掌握文本输入法设计数字电路的过程。 4 .学会编写 3-8 译码器、数值比较器等简单的常用组合逻辑电路。

电子科技大学_数字逻辑综合实验_4个实验报告_doc版

电子科技大学_数字逻辑综合实验_4个实验报告_doc版

电子科技大学计算机学院标准实验报告(实验)课程名称数字逻辑综合实验xxx20160xxxxxxxxx电子科技大学教务处制表电子科技大学实验报告 1学生姓名:xxx 学号:指导教师:吉家成米源王华一、实验项目名称:中小规模组合逻辑设计二、实验目的:1.掌握非门、或门、与非门、异或门、数据选择器的逻辑功能。

2.掌握常有逻辑门电路的引脚排列及其使用方法。

3.采用中小规模逻辑门进行组合逻辑设计,掌握组合逻辑的设计方法。

三、实验内容:1.逻辑输入采用实验箱的K1-K11,逻辑输出接L1-L10。

测试实验箱上的HD74LS04P(非门)、SN74LS32N(或门)、SN74LS00N(与非门)、SN74HC86N(异或门)、SN74HC153(数据选择器、多路复用器)的逻辑功能。

2.采用小规模逻辑器件设计一位数据比较器:设一位数据比较器的输入为A、B,比较A>B,A=B,A<B,输出三个比较结果,输出采用低电平有效。

3.分别用小规模和中规模逻辑器件设计3输入多数表决器:设输入为A、B、C,当三个输入有两个或两个以上同意时,输出结果为同意,输入、输出的同意均为高电平有效。

四、实验原理:1.一块74LS04芯片上有6个非门。

非门的逻辑功能如表1所示,74LS04(非门、反相器)的逻辑符号和引脚排列如下图所示。

图1 74LS04的逻辑符号和引脚排列2.74LS32(或门)的逻辑符号、引脚排列如下图所示。

图2 74LS32的逻辑符号和引脚排列输入输出YA BL L LL H HH L HH H H3.74LS00输入输出YA BL L HL H HH L HH H L图3 74LS00逻辑符号和引脚排列4.一块74HC86芯片上有4个异或门。

异或门的逻辑功能如表4所示,74HC86(异或门)的逻辑符号、引脚排列如图4所示。

表4异或门的逻辑功能输入输出YA BL L LL H HH L HH H L图4 74HC86逻辑符号和引脚排列5.74HC153芯片上有两个4选1数据选择器。

第7章 EDA实验及课程设计

第7章 EDA实验及课程设计

USE ieee.std_logic_1164.ALL;
USE ieee.std_logic_unsigned.ALL;
ENTITY count24 IS
PORT(en, clk: IN STD_LOGIC;
qa: out STD_LOGIC_VECTOR(3 DOWNTO 0); 数
--个位数计
begin if clk'event and clk = '1' then if en = '1' then if tma = "1001" then tma := "0000"; tmb := tmb+1; Elsif tmb = "10" and tma = "0011" then tma := "0000"; tmb := "00"; else tma := tma+1; end if; end if; end if; qa <= tma; qb <= tmb; end process;
7.1.2 MAX + plusⅡ/QuartusⅡ软件VHDL设计 实验六 VHDL软件设计 一、实验目的 1. 熟悉EDA开发平台的基本操作; 2. 掌握EDA开发工具的VHDL设计方法; 3. 掌握硬件描述语言设计的编译与验证方法。 二、实验仪器
计算机、MAX + plusⅡ或QuartusⅡ软件、EDA/SOPC实验 箱。 三、实验内容 1. 二十四进制加法计数器设计与验证。代码如下: LIBRARY IEEE;
1 XXXXXXXX 1 1 1 1 1
0 11111111 1 1 1 1 0

比较器的设计与实现实验报告

比较器的设计与实现实验报告

比较器的设计与实现实验报告比较器的设计与实现实验报告一、一、 实验目的实验目的1.1. 学习常用组合逻辑的可综合代码的编写;学习常用组合逻辑的可综合代码的编写;2.2. 学习VHDL 语言的编程思想与调试方法;语言的编程思想与调试方法;3.3.学习通过定制LPM 原件实现逻辑设计,通过波形仿真及硬件试验箱验证设计的正确与否。

试验箱验证设计的正确与否。

4.4.设计一个能实现两个二位数大小的比较电路并实现利用LPM 原件实现。

原件实现。

二、二、 实验原理实验原理1.1. 功能功能设A2A2、、A1A1、、B2B2、、B1为输入端,F1F1、、F2F2、、F3为输出端,设A=A2A1A=A2A1。

B=B2B1B=B2B1((A2A1A2A1,,B2B1表示两位二进制数)。

当A >B 时,时,F1F1为1,F2F2、、F3为0;当A<B 时,时,F2F2为1,F1F1、、F3为0;当A=B 时,时,F3F3为1,F1F1、、F2为0。

A2A1B2B12.2. 实现实现1)VHDL实现1)VHDL实现系统的VHDL 设计通常采用层次化的设计方法,自顶向下划分F1 F2 F3 A<BA<BA<B A>BA>B A=BA=B 比较电路系统功能并逐层细化逻辑描述。

VHDL 实体功能的描述可分为结构式、行为式行为式和 寄存器传输级(Register Transfer Level, RTL )描述三种。

此次实验结构比较简单,采用寄存器传输级描述的实现方式,选用并行信号赋值语句。

实现方式,选用并行信号赋值语句。

2)LPM实现2)LPM实现参数化模板库参数化模板库((Library Parameterized Modules, LPM )提供了一系列可以参数化定制的逻辑功能模块。

采用LPM设计方法的主要优势在于设计文件与器件结构无关、高效布线和通用性三方面。

方面。

三、三、 实验内容实验内容1.1. VHDL 实现实现新建VHDL 文件,输入以下代码文件,输入以下代码说明:当VHDL 设计电路反馈时,应将端口声明为buffer 端口,而不是out 端口。

新版数字电路实验指导书

新版数字电路实验指导书

数字电子技术实验指导书适用专业:电子信息工程、应用电子浙江师范大学电工电子实验教学中心冯根良张长江目录实验项目实验一门电路逻辑功能的测试……………………………………验证型(1)实验二组合逻辑电路Ⅰ(半加器全加器及逻辑运算)…………验证型(7)实验三组合逻辑电路Ⅱ(译码器和数据选择器)………………验证型(13)实验四触发器………………………………………………………验证型(17)实验五时序电路(计数器、移位寄存器)………………………验证型(22)实验六组合逻辑电路的设计和逻辑功能验证……………………设计型(27)实验七 D/A-A/D转换器……………………………………………设计型(34)实验八 555定时的应用……………………………………………设计型(41)实验九集成电路多种计数器综合应用……………………………综合型(46)实验一门电路逻辑功能及测试一、实验目的1. 熟悉门电路的逻辑功能、逻辑表达式、逻辑符号、等效逻辑图。

2. 掌握数字电路实验箱及示波器的使用方法。

3、学会检测基本门电路的方法。

二、实验仪器及材料1、仪器设备:双踪示波器、数字万用表、数字电路实验箱2. 器件:74LS00 二输入端四与非门2片74LS20 四输入端双与非门1片74LS86 二输入端四异或门1片三、预习要求1. 预习门电路相应的逻辑表达式。

2. 熟悉所用集成电路的引脚排列及用途。

四、实验内容及步骤实验前按数字电路实验箱使用说明书先检查电源是否正常,然后选择实验用的集成块芯片插入实验箱中对应的IC座,按自己设计的实验接线图接好连线。

注意集成块芯片不能插反。

线接好后经实验指导教师检查无误方可通电实验。

实验中改动接线须先断开电源,接好线后再通电实验。

1.与非门电路逻辑功能的测试(1)选用双四输入与非门74LS20一片,插入数字电路实验箱中对应的IC 座,按图1.1接线、输入端1、2、4、5、分别接到K 1~K 4的逻辑开关输出插口,输出端接电平显示发光二极管D 1~D 4任意一个。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

2位二进制数据比较器实验报告
一 实验目的?
1.熟悉Quartus II 软件的基本操作
2.学习使用Verilog HDL 进行设计输入
3.逐步掌握软件输入、编译、仿真的过程 二 实验说明?
输入信号
输出信号
A1 A0 B1
B0 EQ LG SM 0 0 0 0 1 0 0 0 0 0 1 0 0 1 0 0 1 0 0 0 1 0 0 1 1 0 0 1 0 1 0 0 0 1 0 0 1 0 1 1 0 0 0 1 1 0 0 0 1 0 1 1 1 0 0 1 1 0 0 0 0 1 0 1 0 0 1 0 1 0 1 0 1 0 1 0 0 1 0 1 1 0 0 1 1 1 0 0 0 1 0 1 1 0 1 0 1 0 1 1 1 0 0 1 0 1 1
1 1
1
逻辑表达式:
三 实验要求?
1、完成2位二进制数据比较器的Verilog HDL 程序代码输入并进行仿真
2、采用结构描述方式和数据流描述方式
3、完成对设计电路的仿真验证
A1
A0 EQ B1 comp_2 LG B0 SM
本次实验是要设计一个2位的二进制数据比较器。

该电路应有两个数据输入端口A 、B ,每个端口的数据宽度为2 ,分别设为A0、A1和B0、B1、A0、B0为数据低位, 、B1为数据高位。

电路的输出端口分别为EQ (A=B 的输出信号)、LG (A>B 时的输出信号)和SM (A<B 的输出信号)。

四、实验过程
1 程序代码
(1)
module yangying(A,B,EQ,LG,SM);
input [1:0]A,B;
output EQ,LG,SM;
assign EQ=(A==B)1'b1:1'b0;
assign LG=(A>B)1'b1:1'b0;
assign SM=(A<B)1'b1:1'b0;
endmodule
(2)
module yangying(A,B,EQ,LG,SM);
input [1:0]A,B;
output EQ,LG,SM;
reg EQ,LG,SM;
always@(A or B)
begin
if(A==B)
begin
EQ<=1'b1;
LG<=1'b1;
SM<=1'b1;
end
else if(A>B)
begin
EQ<=1'b1;
LG<=1'b0;
SM<=1'b0;
end
else
begin
EQ<=1'b0;
LG<=1'b0;
SM<=1'b1;
end
end
endmodule
2 仿真结果
五、实验体会
通过2位二进制数据比较器的设计,使我们更加熟悉Quartus 软件进行数字系统设计的步骤,以及运用Verilog HDL进行设计输入,并掌握2位二进制数据比较器的逻辑功能和设计原理,逐步理解功能仿真和时序仿真波形。

相关文档
最新文档