第四次微电子电路实验报告
第四次电路实验报告
对二极管的测试一、实验目的:1、掌握示波器的基本调整方法和工作模式。
2、了解Multism软件的使用,学会绘制简单的电路图。
3、了解晶体二极管的导电特性并测定其伏安特性曲线。
二、实验环境仪器:双踪示波器、函数信号发生器、数字万用表;电子元件:电位器,二极管,电环电阻三、实验原理二极管最重要的特性就是单方向导电性。
在电路中,电流只能从二极管的正极流入,负极流出。
正向特性:在电子电路中,将整流二极管的正极接在高电位端,负极在低电位端,二极管就会导通,这种连接方式,称为正向偏置。
必须说明,当加在二极管两端的正向电压很小时,整流二极管仍然不能导通,流过二极管的正向电流十分微弱。
只有当正向电压达到某一数值(这一数值称为“门槛电压”,锗管约为0.2V,硅管约为0.6V)以后,整流二极管才能直正导通。
导通后二极管两端的电压基本上保持不变(锗管约为0.3V,硅管约为0.7V),称为二极管的“正向压降”。
反向特性:在电子电路中,二极管的正极接在低电位端,负极接在高电位端,此时二极管中几乎没有电流流过,此时二极管处于截止状态,这种连接方式,称为反向偏置。
整流二极管处于反向偏置时,仍然会有微弱的反向电流流过二极管,称为漏电流。
当整流二极管两端的反向电压增大到某一数值,反向电流会急剧增大,二极管将失去单方向导电特性,这种状态称为二极管的击穿。
四、实验内容1、设计二极管伏安特性曲线测试电路2、按电路图连接电路,万用表自检3、用万用表测量二极管的电流电压并记录4、连接信号观察电路、对示波器进行自检5、将信号发生器发出的信号接入电路,并用示波器测量、比较五、实验过程与数据记录1、检测万用表以及示波器是否良好2、设计二极管伏安特性曲线测试电路电源与电位器连成可调电压;为了避免通过二极管的电流过大,给它串联一个100Ω左右的保护电阻。
如图:1N1200C3、在面包板上按电路图连接电路连接电路时因注意二极管是否接反,有银环一端为负极;把电位器阻值调到最大以免发生短路。
微电子技术实习报告
一、实习前言随着科技的飞速发展,微电子技术作为现代电子技术的核心,已成为推动社会进步的重要力量。
为了深入了解微电子技术的实际应用,提升自身的实践能力,我于2023年暑假期间,在XXX科技有限公司进行了为期一个月的微电子技术实习。
二、实习目的1. 熟悉微电子技术的基本原理和工艺流程。
2. 掌握微电子器件的设计、制造与测试方法。
3. 增强团队合作和沟通能力,提升自身的职业素养。
三、实习内容1. 微电子器件设计与仿真在实习期间,我参与了公司某款新型微电子器件的设计与仿真工作。
在导师的指导下,我学习了电路设计软件,如Cadence、LTspice等,并完成了器件原理图的设计、仿真与优化。
通过实际操作,我掌握了微电子器件的设计方法,为后续的制造与测试奠定了基础。
2. 微电子器件制造在实习过程中,我有幸参观了公司的微电子器件制造车间。
在导师的带领下,我了解了芯片制造的各个工序,包括晶圆制备、光刻、蚀刻、离子注入、扩散、镀膜、切割等。
此外,我还学习了设备操作和维护方法,对微电子器件的制造过程有了更为深刻的认识。
3. 微电子器件测试在实习后期,我参与了微电子器件的测试工作。
在导师的指导下,我学习了测试仪器的使用方法,如示波器、万用表、频谱分析仪等。
通过实际测试,我掌握了器件性能的评估方法,并参与了测试结果的整理与分析。
四、实习收获1. 理论知识与实践相结合通过实习,我将所学的微电子理论知识与实际应用相结合,提高了自身的综合素质。
2. 提升了动手能力在实习过程中,我掌握了微电子器件的设计、制造与测试方法,提升了自身的动手能力。
3. 培养了团队合作精神在实习期间,我与团队成员密切合作,共同完成了各项任务,培养了团队合作精神。
4. 明确了职业规划通过实习,我对微电子行业有了更为全面的认识,明确了自身的职业规划。
五、总结本次微电子技术实习使我受益匪浅。
在今后的学习和工作中,我将继续努力,不断提升自身能力,为我国微电子产业的发展贡献自己的力量。
电子电路实验四 实验报告
实验四波形发生电路实验报告一、理论计算1.正弦振荡电路实验电路如图1所示,电源电压为±12V。
分析图1电路的工作原理,根据图中的元件参数,计算符合振荡条件的Rw值以及振荡频率f0。
该正弦振荡电路采用RC串并联选频网络,选频网络的示意图如下:当输入信号的频率足够低时,,超前,且当频率趋近于零时,相位超前趋近于+90°;当输入信号的频率足够高时,,滞后,且当频率趋近于无穷大时,相位滞后趋近于-90°。
因此,当信号频率从零逐渐变化到无穷大时,的相位将从+90°逐渐变化到-90°,故必定存在一个频率f0,当f= f0时,与同相。
RC串并联选频网络的反馈系数整理可得令,则代入上式,得出当f=f0时,,由正弦振荡电路的起振条件知,。
对于图1的正弦振荡电路,有将R3、R4代入上式,令之大于3,得Rw>10kΩ。
将R1=R2=16kΩ、C1=C2=0.01μF代入f0式,得f0=994.7Hz。
2.多谐振荡电路实验电路如图2所示。
深入分析图2所示电路的工作原理,画出Vo1、Vo2的波形,推导Vo1、Vo2波形的周期(频率)和幅度的计算公式。
再按图2中给出的元件参数计算Vo1、Vo2波形的周期(频率)、幅度,以备与实验实测值进行比较。
该电路为三角波发生电路,原理图如下:虚线左边为滞回电路,故Vo1为方波。
根据叠加原理,集成运放A1同相输入端的电位令,则阈值电压对于虚线右边的积分电路,其输入电压不是+U Z,就是-U Z,故积分电路的输出电压的波形为三角波。
设输出电压的初始值为-U T,终了值为+U T,则可解得T为矩形波、三角波共同的周期。
矩形波的幅度的理论值即为UZ,等于6V;将实验电路图中的各个参数代入各式,得UT=0.5*6=3V,故三角波的幅度理论值为3V,矩形波、三角波的周期 。
3.锯齿波发生电路锯齿波发生电路的原理图见仿真实验电路图。
设二极管导通时的等效电阻可忽略不计,当u o1=+U Z时,D3导通,D4截止,输出电压的表达式为uo随时间线性下降。
微机原理与应用第四次实验报告参考模板
微机原理与应用第四次实验报告实验6 汇编语言程序结构一、实验目的1.掌握汇编语言项目上机过程2.掌握汇编语言程序结构和常用伪操作3.掌握汇编语言程序设计和调试方法4.掌握MSP430F1xx基本I/O控制方法二、实验任务1.学习汇编指示性语句(可在simulator下完成)在EWH8下新建项目Lab_6,编写下面程序L6_directives.s43(程序清单见后页,该程序只是为了学习指示性语句,程序本身并没有实现什么特定功能),利用project/remove移出模板文件test_asm.s43,点击project/add/files将L6_directives.s43添加到项目中。
1) 利用EW430下的view/memory查看DATA16_C段中定义的存储单元内容和地址,在memory/go的窗口内输入存储单元的符号(如number1)即可查看到该符号对应的存储单元地址和内容,注意在L6_directives.s43程序中使用这些存储单元的内容和地址的方法;利用view/disassembly反汇编程序,对比源程序,利用每条指令后的注释,学习其中用到的指示性操作,如:#define、SFB、SFE、SIZEOF、$等,并能在今后的程序设计中使用。
2) 在L6_directives.s43中,number4和number1分别被定义成了什么?二者有何不同?#number4和#number1这两个操作有何不同?MOV #number4, R7和MOV number4, R7执行的结果会相同吗?为什么?3) 如图6-1所示,点击项目中的msp430x14x.h文件,了解该文件中用到的指示性语句,今后的实验中会用到该文件中的定义。
答2.编程控制实验板上发光二级管按下面4种规律循环显示1) 8个LED灯顺时针一个一个单独点亮,每次只有一个灯亮,其他灯灭;2) 4个奇数号LED灯和4个偶数号LED灯交替点亮各一次;3) 8个LED灯两两点亮,顺序为LED1和8,LED2和7,LED3和6,LED4和5,每次只有两个灯亮,其他灯灭;4) 8个LED灯全亮,全灭注意:在灯的状态变化中,需留一定延时,以便视觉能感受到灯的亮灭,要求编写一延时子程,需要延时的地方,用CALL指令调用该子程。
电工基础第四次实验学生预习报告参考格式
实验名称:动态电路动态过程的测量与研究。(研究型)
实验目的:1.掌握函数信号发生器和示波器的使用方法;2.研究一阶电路中,时间常数的实验测定方法和对暂态响应的影响。
实验内容:
一、一阶RC动态电路工作性能究。
1电路图
结构参数
R=500ΩςC=0.1∣ιF
R1kfi;C=O1μF
波形曲线
0
ZSiV
八“s/V
U»EjII1S
0
kwc∕V
产;c/ms
测量值
CI■Mn—■
TZ■Uf⅛-1=
暂态响应和积分电路接线图
图2RC微分电路接线图
图1
2.电路暂态响应参数及曲线信号源方波,f=1000Hz,USVPP=3V,直流偏移为1.5Vpp
3.RC构成的积分和微分电路性能分析
信号源方波,f=1000Hz,Usw=3V,根据RC的不同给出值,计算电阻值,填入下表,观察并描绘信号波形。
电路性质
积分电路,RC=5T,C=0.68uF
微分电路,RC=TAO,C=0.1uF
波形曲线
0
Es/V
八US1V
c/ms
UAC/1ΠS
0
κwc∕V
AwR∕V
>c/ms
U>r/ms
结构参数
R=ΩC=μF
R=ΩC=μ>
二、二阶电路暂态响应性能研究。(选作内容表格自拟,建议画在本页背面)
微机原理第四次实验报告董东启
实验四 A/D转换实验一、实验目的与要求目的:掌握A/D芯片与单片机的接口方法及ADC性能;了解单片机实现数据采集的方法。
二、实验参考步骤1、启动KEIL软件,选择菜单“工程\新建工程”以建立工程(扩展名为.uv2,如T4a.uv2)。
2、选择菜单“文件\新文件”以建立一个新的文本编辑窗口,在窗口中输入参考源程序1并保存(扩展名为.asm),如test4a.asm,然后将其加入到源程序组1中。
3、在工程菜单的选项“目标1属性”中设置相应的选项,包括“输出\产生hex文件”选项以便汇编生成HEX代码供编程器使用,在“调试\使用KeilMonitor-51 Driver”的设置中选择相应的串口号。
4、使用“工程”中的“编译全部文件”完成相应的文件编译,如果程序格式正确将生成相应HEX代码文件,如T4a.hex。
如果提示有编译错误请自行修改源程序然后再重新编译。
5、将ad0804 的wr引脚连接P3.6 ,rd引脚接p3.7 ad0804数据接P1口,八路发光管接P0口,完成仿真器与计算机之间的连线并通电。
6、在KEIL软件中启动“调试\开启仿真模式”,然后用“运行”命令实现全速运行的仿真,调节电位器控制电压输入,观察指示灯状态。
7、根据指示灯状态记录对应的AD结果,利用万用表测量对应的输入电压值进行比较,验证AD结果是否正确。
8、通过多次改变输入值的方式重复步骤7并记录调试结果,然后在KEIL软件中启动启动“中断运行-调试(debug)\关闭仿真模式”退出仿真,选择“工程\关闭工程”可关闭当前工程。
9、根据参考源程序1进行思考、修改和拓展,自行设计程序目标并编程验证,比如利用数码管显示AD值,或者利用AD值控制PWM波的占空比等。
10、完成参考程序二的仿真演示并自行分析其程序设计思想。
三、实验内容1、程序一:0804的基本应用。
程序演示目的:实验者转动电位器以改变输入电压,利用ad0804读取AD结果并利用P0口显示AD结果;硬件连接:将ad0804的 wr引脚接P3.6,rd引脚接P3.7,ad0804数据口接P1口, P0口接八路发光管。
第四次微机原理与接口技术实验报告
微机原理与接口技术第四次实验报告目录第一次实验:实验6 8254定时/计数器实验 (1)第二次实验:实验3 六位数码管显示实验 (4)实验5 小键盘按键识别实验 (8)实验2 拨动开关和 LED 显示实验 (15)实验8 8255方式1输出 (17)实验13 继电器实验 (20)第三次实验: 实验10 8位D/A转换实验 (23)第一次实验:实验68254 定时/计数器实验一实验目的熟悉 8254 在系统中的电路接法,掌握 8254 的工作方式及应用编程。
二实验内容使用 8254 两个计数器级连,采用定时方式工作,周期性的点亮及熄灭发光二极管各 0.5秒。
三实验原理(1)接线图:(2)流程图四程序清单及注释.Model small.386DATA SEGMENTD1 DB 10 DUP('4')D2 DB 20 DUP('3') DATA ENDSCODE SEGMENTASSUME CS:CODE, DS:DATA BEG:MOV AX,DATAMOV DS,AXMOV AL,37HMOV DX,203HOUT DX,ALMOV AX,1000;1000控制LED闪烁频率MOV DX,200HOUT DX,ALMOV AL,AHOUT DX,ALMOV AL,77HMOV DX,203HOUT DX,ALMOV AX,1000;1000控制LED闪烁频率MOV DX,201HOUT DX,ALMOV AL,AHOUT DX,ALCODE ENDSEND BEG四问题及体会(1)初次使用TPC-386EX汇编,对软件的使用不够熟悉,对输出输入接口的确定还有些模糊,需要继续尝试加以提高;(2)TPC-386EX 环境与下位机的匹配是一个常见的错误,实验中多次显示“请先传送文件”提示,后来发现是两者间接口连接出现问题,以后实验中会注意。
第二次实验:实验3 六位数码管显示实验一实验目的了解共阴级数码管显示数字的原理,掌握六位共阴级数码管动态显示的控制方法。
最新电子电路实验四实验报告
最新电子电路实验四实验报告实验目的:1. 熟悉电子电路的基本组成和工作原理。
2. 掌握常用电子元器件的特性及其在电路中的应用。
3. 学习电路设计、搭建和调试的基本方法。
4. 提高分析和解决电路问题的能力。
实验内容:1. 设计并搭建一个基本的放大电路,包括晶体管的偏置和放大器的构建。
2. 测量并记录放大电路的输入阻抗、输出阻抗和增益。
3. 实验验证负反馈对放大器性能的影响,包括稳定性和增益的调整。
4. 通过实验分析,理解频率响应对放大器性能的影响。
5. 使用示波器和多用表等测量工具,对电路进行性能测试和故障诊断。
实验设备和材料:1. 面包板或印刷电路板(PCB)。
2. 晶体管(NPN和PNP类型)。
3. 电阻、电容、二极管等基本电子元器件。
4. 电源供应器。
5. 示波器。
6. 多用电表。
实验步骤:1. 根据实验指导书设计放大电路,并在面包板上搭建电路。
2. 调整电源供应器,为电路提供稳定的工作电压。
3. 使用多用电表检查电路的连通性和元器件的极性。
4. 打开示波器,连接到电路的输入和输出端,观察波形变化。
5. 调整电路中的电阻和电容,改变反馈网络,记录不同配置下的电路性能。
6. 分析实验数据,绘制电路的频率响应曲线。
7. 根据实验结果,对电路进行必要的调整和优化。
实验结果与分析:1. 记录电路的输入阻抗、输出阻抗和增益数据,并与理论值进行比较。
2. 分析负反馈对电路性能的影响,包括增益稳定性和带宽的变化。
3. 根据实验数据,绘制电路的频率响应曲线,并解释其物理意义。
4. 讨论实验中遇到的问题及其解决方案,提出可能的改进措施。
结论:通过本次实验,我们成功搭建并测试了一个基本的放大电路。
实验结果表明,电路的性能符合设计预期,输入阻抗、输出阻抗和增益均在合理范围内。
通过调整反馈网络,我们观察到了电路性能的明显变化,验证了负反馈对放大器性能的重要性。
此外,实验也提高了我们对电子电路设计、搭建和调试的理解和实践能力。
微机原理第四次上机实验报告_PB
微机原理第四次上机实验报告学号:PB12203254 姓名:**潇上机时间:每周五晚上【一、实验目的和要求】:1,熟练掌握分支程序的两种结构形式:即相当于高级语言中的IF_THEN_ELSE语句和CASE语句。
2,熟练掌握使用条件转移指令实现分支程序设计的基本方法。
3,熟练掌握使用跳转表方法实现CASE结构的分支程序的设计。
【二、实验内容和过程】程序1:编写一个程序将一个数组中正数和负数分成两个数组并分别屏幕显示正数和负数的个数。
(一)程序的算法:1,首先是待处理数组的创建:我们通过21H号中断调用的0A号功能调用输入数字串,存于BUFF字符串区(设定为0A号调用的键入缓冲区),这里只负责将键入的以回车符(0DH)结尾的字符串读入数据段,不检查输入是否合法。
但是,我们通过输出提示告知用户键入的数字之间应该使用空格符(20H)来分隔。
实际上,我们在处理数字的时候就是以空格符(当然,还包括尾后输入的回车符)作为一个数字的字符串形式结束的标志(回车符还标识所有数字处理完毕)。
另一方面,我们计划给每个数字分配一个字的储存空间,故我们支持的数字范围为-32768~32767,这同样有键入提示字符串提示。
2,数字处理阶段:----预备处理阶段:初始化用来作为指针和数据暂存的寄存器(DI指向保存正数的数组NUM1,SI指向保存负数对应补码的数组NUM2,BX指向键入缓存区BUFF,数据暂存的CX清0,将要用来读数和处理的AX清0),计数器清零(L1,L2清0,他们分别记录存入NUM1和存入NUM2的元素的个数)。
----正式处理阶段:(a)开始:我们通过BX指向BUFF,通过MOV AL,[BI]j将一个字节读入AL。
(b)判断,是否为回车符(0DH):TURE,所有数字处理完毕,跳转到输出阶段;FALSE,继续执行接下来的指令。
(c)判断,是否为回车(20H):TURE,尚未开始下一个数字的输入,回跳(a)重新读入下一个字节的内容;FALSE,继续执行接下来的指令。
第四次微机原理与接口技术实验报告
微机原理与接口技术第四次实验报告目录第一次实验:实验6 8254定时/计数器实验 (1)第二次实验:实验3 六位数码管显示实验 (4)实验5 小键盘按键识别实验 (8)实验2 拨动开关和 LED 显示实验 (15)实验8 8255方式1输出 (17)实验13 继电器实验 (20)第三次实验: 实验10 8位D/A转换实验 (23)第一次实验:实验6 8254 定时/计数器实验一实验目的熟悉 8254 在系统中的电路接法,掌握 8254 的工作方式及应用编程。
二实验内容使用 8254 两个计数器级连,采用定时方式工作,周期性的点亮及熄灭发光二极管各 0.5秒。
三实验原理(1)接线图:(2)流程图四程序清单及注释.Model small.386DATA SEGMENTD1 DB 10 DUP('4')D2 DB 20 DUP('3') DATA ENDSCODE SEGMENTASSUME CS:CODE, DS:DATA BEG:MOV AX,DATAMOV DS,AXMOV AL,37HMOV DX,203HOUT DX,ALMOV AX,1000;1000控制LED闪烁频率MOV DX,200HOUT DX,ALMOV AL,AHOUT DX,ALMOV AL,77HMOV DX,203HOUT DX,ALMOV AX,1000;1000控制LED闪烁频率MOV DX,201HOUT DX,ALMOV AL,AHOUT DX,ALCODE ENDSEND BEG四问题及体会(1)初次使用TPC-386EX汇编,对软件的使用不够熟悉,对输出输入接口的确定还有些模糊,需要继续尝试加以提高;(2)TPC-386EX 环境与下位机的匹配是一个常见的错误,实验中多次显示“请先传送文件”提示,后来发现是两者间接口连接出现问题,以后实验中会注意。
第二次实验:实验3 六位数码管显示实验一实验目的了解共阴级数码管显示数字的原理,掌握六位共阴级数码管动态显示的控制方法。
西北工业大学_数字电子技术基础_实验报告_实验4
数字电子技术基础第四次实验报告一、描述QuartusII软件基本使用步骤1.用文本编辑器正确编写源文件(本例run.v),并经modelsim仿真确认该电路设计正确.2..打开QuartusII软件,新建工程New project (注意工程名和设计文件的module名保持一致),选择和开发板一致的FPGA器件型号。
(本课程为Cyclone IV E系列EP4CE115F29C7)3.添加文件,点击file->open,之后选择要添加的文件,并勾选Add file to current project.4.编译,Start Compilation ,编译源文件(如有错误修改后,重新编译)。
5. 查看电路结构,使用Tool->RTL viewer工具查看电路图结构,是否和预期设计一致。
6.管脚绑定,使用Assignment->pin planner将设计的全部输入/输出接口与开发板的对应管脚进行一一对应。
PIN_Y2 -to clkPIN_H19 -to out[7]PIN_J19 -to out[6]PIN_E18 -to out[5]PIN_F18 -to out[4]PIN_F21 -to out[3]PIN_E19 -to out[2]PIN_F19 -to out[1]PIN_G19 -to out[0]PIN_M23 -to rst7. Processing->Start Compilation,全编译生成可下载文件。
(.sof)8. 连接开发板,安装所需驱动程序(在设备管理器中,选择路径为quatus安装路径)9. 点击start开始烧录,完成后开发板上出现流水灯。
二、题目代码以及波形1.跑马灯设计及FPGA实现①编写模块源码module run (clk,rst,out);input clk,rst;output [7:0] out;reg [7:0] out;reg [24:0] count;always @ ( posedge clk or negedge rst ) if(!rst)begincount<=16'b0;endelsebegincount<=count+1;endalways @ ( posedge clk or negedge rst) if(!rst)beginout<=8'hff;endelsebegincase ( count[24:21] )0: out<=8'b1111_1110;1: out<=8'b1111_1101;2: out<=8'b1111_1011;3: out<=8'b1111_0111;4: out<=8'b1110_1111;5: out<=8'b1101_1111;6: out<=8'b1011_1111;7: out<=8'b0111_1111;8: out<=8'b1011_1111;9: out<=8'b1101_1111;10:out<=8'b1110_1111;11:out<=8'b1111_0111;12:out<=8'b1111_1011;13:out<=8'b1111_1101;14:out<=8'b1111_1110;15:out<=8'b1111_1111;endcaseendendmodule②测试模块`timescale 1ns/1psmodule tb_run;reg clk_test;reg rst_test;wire [7:0]out_test;initialclk_test=0;always #1 clk_test=~clk_test;initialbeginrst_test=1;#1rst_test=0;#1rst_test=1;#180rst_test=0;#1rst_test=1;endrun UUT_run(.clk(clk_test),.rst(rst_test),.out(out_test));endmodule③仿真后的波形截图④综合后的RTL图形1.有限状态机设计(教材Figure 6.86)①编写模块源码module sequence (Clock,Resetn,w,z);input Clock,Resetn,w;output z;reg [3:1]y,Y;parameter [3:1]A=3'b000,B=3'b001,C=3'b010,D=3'b011,E=3'b100;always@(w,y)case(y)A:if(w) Y=D;else Y=B;B:if(w) Y=D;else Y=C;C:if(w) Y=D;else Y=C;D:if(w) Y=E;else Y=B;E:if(w) Y=E;else Y=B;default: Y=3'bxxx;endcasealways@(negedge Resetn,posedge Clock) if(Resetn==0)y<=A;elsey<=Y;assign z=(y==C)|(y==E);endmodule②测试模块`timescale 1ns/1psmodule tb_sequence;reg Clock_test,Resetn_test,w_test;wire z_test;initialbeginClock_test=0;Resetn_test=0;w_test=1;endalways #10 Clock_test=~Clock_test;initialbegin#10Resetn_test=1;w_test=1;#10w_test=0;#20w_test=0;#20w_test=0;#20w_test=1;#20w_test=1;#20w_test=0;#20w_test=0;#20w_test=1;#20w_test=0;#20w_test=0;#20w_test=0;#20w_test=1;#20w_test=1;#20w_test=0;#20w_test=0;endsequence UUT_sequence(.Clock(Clock_test),.Resetn(Resetn_test),.w(w_test),.z(z_test));endmodule③仿真后的波形截图④综合后的RTL图形三、本次实验收获和心得通过本次试验真正接触了FPGA开发板并向板子上烤了文件,虽然题目较为简单,但是在完成的过程中遇到了不少问题,比如软件内部没有需要的开发板型号,自己通过搜索和下载,找到了相应的扩展包并成功添加进入高版本的quartus II软件当中,我的体会是,数字电路归根到底还是依靠硬件实现的,所以将代码的执行效果反映到硬件上是一个重要环节,应该不断练习,提高自己解决问题的能力;另外通过本次实验,我对有限状态机有了更加深入的了解。
第四次电子实验
第四次电子实验:单管共射极放大器电路图:一、实验内容1、从信号发生器输出f=1KHz,Vi=30mV(有效值)的正弦电压到放大电路的输入端,将放大电路的输出电压接到双踪示波器Y输入端,调整电位器,使示波器上显示的Vo波形达到1)从信号发生器送入f=1KHz,Vi=30mA的正弦电压,在输出端带负载和不带负载的两种情况下(J7跳线)用万用表测量输出电压Vo,计算电压放大倍数Av=V o/Vi。
2)用示波器观察Vi和Vo电压的幅值和相位。
把Vi和V o分别接到示波器的CH1和CH2通道上,在荧光屏上观察它们的幅值大小和相位。
电压幅值(mA)相位Vi 108.0 0Vo 2.100 03、测量单级共射放大电路的通频带1)当输入信号f=1KHz,Vi=30mA,RL=5.1K,在示波器上测出放大器中频区的输出电压V opp (或计算出电压增益)。
2)增加输入信号的频率(保持Vi=30mV不变),此时输出电压会减小。
当其下降到中频区输出电压的0.707(-3dB)倍时。
信号发生器所指示的频率即为放大电路的上限频率fH。
3)降低信号频率可得下限频率fL。
V opp(V)fH(Hz)fL(KHz)通频带(KHz)1.15315 140 690 689.864、输入电阻ri的测量1)按图接入电路,取R=5.1Kῼ,用万用表测出Vs和Vi用Ri=R*Vi/(Vs-Vi)求出Ri。
2)按图连接电路,取RL=5.1K,用万用表分别测出j7断开时的开路电压V o和RL=5.1K时1) Vs=0.06138V Vi=0.03035V 5000ῼ2) V o=0.69337V V ol=0.46241V 2547ῼ二、实验总结1、列表整理测量结果,并把实测的静态工作点、电压放大倍数、输入电阻、输出电阻之值与理论计算值比较(取一组数据进行比较),分析产生误差原因。
2、总结RC,RL及静态工作点对放大器电压放大倍数、输入电阻、输出电阻的影响。
微电子技术实习报告加自我鉴定
微电子技术实习报告及自我鉴定一、实习报告在过去的三个月里,我有幸参加了微电子技术实习项目。
这次实习让我对微电子技术有了更深入的了解,并积累了宝贵的实践经验。
以下是我在实习期间的主要工作和学习内容。
1. 实习单位简介实习单位是某知名微电子企业,专注于研发和生产集成电路芯片。
公司拥有一流的研发团队和先进的生产设备,为员工提供了良好的学习和成长环境。
2. 实习内容(1)生产线实习在生产线实习期间,我了解了集成电路芯片的生产流程,包括晶圆制造、芯片设计、版图绘制、光刻、蚀刻、离子注入、金属化、封装和测试等环节。
通过实地观察和操作,我深刻掌握了各个环节的基本原理和操作技巧。
(2)研发部门实习在研发部门实习期间,我参与了集成电路芯片的设计和仿真工作。
通过使用EDA(电子设计自动化)工具,我学会了绘制电路原理图、编写Verilog/VHDL代码、进行仿真测试和功能验证。
此外,我还学会了与团队成员协作,共同完成项目任务。
(3)测试实验室实习在测试实验室实习期间,我学习了集成电路芯片的测试方法和设备操作。
通过使用ATE(自动测试设备)进行芯片测试,我掌握了测试方案的设计、测试程序的编写和测试结果的分析。
这使我能够更好地了解芯片的性能和质量。
3. 实习成果通过实习,我取得了以下成果:(1)掌握了微电子技术的基本原理和生产流程;(2)学会了使用EDA工具进行集成电路芯片设计和仿真;(3)具备了集成电路芯片测试和分析的能力;(4)提高了团队合作和沟通能力。
二、自我鉴定1. 学习态度在实习期间,我始终保持积极的学习态度,认真聆听导师的讲解,主动请教同事,积极参加培训和研讨活动。
我注重理论与实践相结合,不断丰富自己的专业知识。
2. 团队合作我意识到团队合作在实习过程中的重要性,始终保持团结协作的精神。
在与同事共同完成项目任务的过程中,我学会了倾听、沟通、协调和分工合作,为团队的整体发展做出了贡献。
3. 解决问题能力在实习过程中,我遇到了许多问题和挑战。
湖南大学微电子实验报告4
实验四Verilog基础与modelsim仿真平台一、实验目的1、掌握Verilog语法;2、掌握数字电路的仿真验证方法;2、掌握数字电路仿真平台Modelsim;二、实验内容及要求1、分别使用always语句和assign语句描述与、或、非电路并测试;2、描述检测序列“11001101”的电路并测试。
三、实验原理1. 与门与门是实现逻辑“乘”运算的电路,有两个以上输入端,一个输出端。
只有当所有输入端都是高电平(逻辑“1”)时,该电路输出才是高电平(逻辑“1”),否则输出为低电平(逻辑“0”)。
其二输入与门的数学逻辑表达式:Y = AB2. 或门或门是实现逻辑加的电路,又称逻辑和电路,简称或门。
此电路有两个以上输入端,一个输出端。
只要有一个或几个输入端是“1”,或门的输出即为“1”。
而只有所有输入端为“0”时,输出才为“0”。
或门的数学逻辑表达式为:Y=A+B3. 非门实现逻辑代数非的功能,即输出始终和输入保持相反。
当输入端为高电平(逻辑“1”)时,输出端为低电平(逻辑“0”);反之,当输入端为低电平(逻辑“0”)时,输出端则为高电平(逻辑“1”)。
非门的数学逻辑表达式为:F=A’4. 序列检测器序列检测器是可用来检测一组或多组由二进制编码组成的脉冲序列信号。
当序列检测器连续收到一组穿行的二进制代码后如果这组码与序列检测器中预先设置的码相同则输出为1,否则输出为0。
由于这种检测的关键在于正确码收到必须是连续的这就要求检测器必须记住当前一次的真确码及正确序列,直到连续的检测中所收到的每一位码都与预先设置的数的对应码相同。
在检测过程中,任何一位不相等都将回到初始状态重新开始检测。
四、实验方法与步骤实验方法:硬件:联想Y50计算机软件:操作系统:windows 7应用软件:Modelsim实验步骤:1、打开Modelsim软件,点击File->New->Project,新建一工程。
2、在Create Project对话框中输入工程名、工程路径以及缺省库名(一般库名work不用改)。
第四次实验报告
一、实验目的1.了解循环伏安法的基本原理及应用2. 掌握循环伏安法的实验技术和有关参数的测定方法。
二、实验原理循环伏安法(CV )是最重要的电化学分析研究方法之一。
在电化学、无机化学、有机化学、生物化学的研究领域广泛应用。
CV 方法是循环变化的电压施加于工作电极和参比电极之间,记录工作电极上得到的电流与施加电压的关系曲线。
如图1.当工作电极被施加的扫描电压激发时,其上将产生响应电流。
以该电流(纵坐标)对电位(横坐标)作图,称为循环伏安图。
如图2:0.1mol/LKNO3电解质溶液中,0.006mol/LK3Fe(CN)6在Pt 电极上的反应所得到的结果。
图1.循环伏安法加电压的方式 图2.循环伏安法测得的氧化还原曲线其电极反应为:选择施加在a 点的起始电位E i 为0.8V ,然后沿负的电位即正向扫描,当电位负到能够将VO 2+还原时,在工作电极上发生还原反应:VO 2++2H ++e= VO 2++H 2O ,阴极电流迅速增加(b-d ),电流在d 点达到最高峰,此后由于电极附近溶液中的VO 2+转变为VO 2+而耗尽,电流迅速衰减(d-e );在f 点电压沿正的方向扫描,当电位正到能够将VO 2+氧化时,在工作电极表面聚集的将发生氧化反应:VO 2++H 2O = VO 2++e+2H +阳极电流迅速增加(i-j ),电流在j 点达到最高峰,此后由于电极附近溶液中的VO 2+转变为VO 2+而耗尽,电流迅速衰减(j-k );当电压达到a 点的起始电位E i 时便完成了一个循环。
循环伏安图的几个重要参数为:阳极峰电流(i pa )、阴极峰电流(i pc )、阳极峰电位(E pa )、阴极峰电位(E pc )。
对可逆氧化还原反应E= (E pa +E pc )/2。
对于可逆反应,阴阳极峰电位的值,△E=Epa -Epc≈0.056/n (1)峰电位与扫描速度无关。
而峰电流ip =2.69×105n3/2AD1/2V1/2C,ip为峰电流(A),n为电子转移数,A为电极面积(cm2),D为扩散系数(cm2/s),V为扫描速度(V/s),C为浓度(mol/L)。
华侨大学微电子实验四
华侨大学信息科学与工程学院电子工程系实验目的:分析分立增强型和耗尽型NMOSFET的IDS-VGS特性仿真分析:MOSFET的栅电压进行直流扫描,输出MOSFET的漏端电流。
实验器件:2N7002增强型小信号NMOS管,BSS129耗尽型NMOS管数据记录:表4-1 NMOS IDS-VGS特性曲线IDS @10uA @100uA @1mA @10mA @50mA @100mAVGS 2.481V 2.500V 2.558V 2.750V 3.138V 3.463V 2N7002△VGS ------ 0.019V 0.058V 0.192V 0.388V 0.325VVGS -1.289V -1.265V -1.190V -0.952V -0.521V -0.199V BSS129△VGS ------ 0.024V 0.075V 0.238V 0.431V 0.322V①将2N7002器件IDS –VGS特性曲线波形放在下面方框中,需按照要求处理波形,并标注表4-1各抓取点坐标【波形打印出来必须清晰】②将BSS129器件IDS –VGS特性曲线波形放在下面方框中,需按照要求处理波形,并标注表4-1各抓取点坐标【波形打印出来必须清晰】实验目的:分析分立增强型PMOSFET的ISD-VSG特性仿真分析:MOSFET的栅电压进行直流扫描,输出MOSFET的源端电流。
实验器件:PHP125和2N6851增强型小信号PMOS管数据记录:表4-2 PMOS IDS-VSG特性曲线ISD @10uA @100uA @1mA @10mA @50mA @100mAPHP125VG 10.197V 10.190V 10.170V 10.104V 9.984V 9.894V VSG 1.803V 1.810V 1.830V 1.896V 2.016V 2.106V △VSG ---- 0.007V 0.020V 0.066V 0.120V 0.090V2N6851VG 8.845V 8.837V 8.813V 8.736V 8.595V 8.487V VSG 3.155V 3.163V 3.187V 3.264V 3.405V 3.513V △VSG ---- 0.008V 0.024V 0.077V 0.141V 0.108V①将PHP125器件ISD –VSG特性曲线波形放在下面方框中,需按照要求处理波形,并标注表4-2各抓取点坐标【波形打印出来必须清晰】②将2N6851器件ISD –VSG特性曲线波形放在下面方框中,需按照要求处理波形,并标注表4-2各抓取点坐标【波形打印出来必须清晰】实验4.3 MOSFET IDS VDS特性分析实验目的:分析分立增强型MOSFET的IDS-VDS特性仿真分析:MOSFET的漏端电压进行直流扫描,输出MOSFET的电流。
微电子实验4
实验四瞬态分析仿真一、实验目的掌握PSPICE的交流特性扫描仿真的方法。
二、实验内容1)创建瞬态分析仿真2)输出波形窗口,分析输出波形3)用探针仿真不同频率值的输出波形,分析输出。
自定义频率变化范围值和频率间隔。
三、实验原理电路原理:1.四个三极管的发射结均发生正偏,Q1,Q2的发射结电压在0.6到0.8之间,三极管处于放大状态;2.Q3与Q4的静态集——射极电压合适于提供最大范围的输出电压;3.交流信号源对直流信号相当于短路的等效,交流信号源正负极电压均为零;4.Q3、Q4的对称性决定了电路电压的对称性四、实验方法与步骤实验方法:采用的软件工具是orcad9.2软件仿真平台。
实验步骤:1. 建立仿真描述文件在设置仿真参数之前,必须先建立一个仿真参数描述文件,点击或PSpice>New simulation profile,系统弹出如下对话框:2.设置和运行time domain。
调出Simulation Setting对话框,在Analysis type中选择time domain,在Options 中选中General Settings,如下所示再点击 output file option 出现对话框如下点击或PSpice>Markers>Voltage Level,放置电压观测探针,位置如上图所示。
点击或PSpice>Run 运行PSpice,自动调用Probe模块,分析完成后,得到波形。
、五、实验结果与分析波形显示的是节点out2电压输出波形与输入信号的波形。
下图是以文本的形式查看傅里叶分析结果在傅里叶分析中,并非对指定输出变量的全部瞬态分析结果均进行分析。
实际采用额只是瞬态分析结束前由上述基波周期确定的时间范围的瞬态分析输出信号。
为了傅里叶分析,瞬态分析结束时间不能小于傅里叶分析确定的基波周期。
六、实验心得本次实验在电压的选择上出现了问题导致结果出现了错误,在找到问题后进行改正,最后得到了正确的结果,还算顺利。
微电子器件与电路实验实验四实验报告
②PHP125 IV特性曲线波形放在下面虚方框中,需按照要求处理波形,并抓取VSD=6V时每一个VSG对应的电流【波形打印出来必须清晰】。
③BSS129 IV特性曲线波形放在下面虚方框中,需按照要求处理波形,并抓取VDS=6V时每一个VGS对应的电流【波形打印出来必须清晰】。
2.按实验报告要求操作、记录数据(波形)、处理数据(波形)
实验记录:
实验4.1 MOSFET IV特性测试(IV分析仪)
使用IV分析仪对增强型NMOS、增强型PMOS、耗尽型NMOS IV特性分析
实验4.2 MOSFET IV特性测试(DC分析)
使用DC扫描对增强型NMOS、增强型PMOS、耗尽型NMOS IV特性分析
微电子器件与电路实验报告
姓名
学号
1415212003
合作人
实验时间
2016.11
实验成绩
教师签名
实验名称
实验四MOSFET电学特性测试
实验设备
(1)计算机(2)Multisim 12
实验目的
1.MOSFET IV特性测试以及温度对IV特性的影响
2.MOSFET RDS(线性区)和GM(饱和区)随栅源电压变化特性曲线
实验4.3温度对MOSFET IV特性的影响
使用温度扫描分析对增强型NMOS、增强型PMOS进行扫描,分析温度对器件IV特性影响
实验4.4 MOSFET RDS测试
测试小信号MOSFET和功率MOSFET源漏电阻随VGS变化曲线
实验4.5 GM随VGS变化曲线
测试小信号MOSFET在饱和区条件下,GM随Vห้องสมุดไป่ตู้S变化曲线
北理工微机实验四
北理工微机实验四实验4 A/D和D/A转换一、实验目的1.了解A/D转换的基本原理,掌握ADC0809芯片的使用方法。
2.了解D/A转换的基本原理,掌握DAC0832芯片的使用方法。
3.了解直流电机控制的基本方法。
二、实验内容与步骤(一)A/D转换部分1. 接线:CS /0809 接Y3 /IO地址IN0 /0809 接0~5V /直流信号EOC 接总线的IRQ2. 实验电路原理图如图1.通过实验台左下角电位器RW1输出0 ~ 5V 直流电压送入ADC0809通道0(IN0),利用 debug 的输出命令启动 A/D 转换器,输入命令读取转换结果,验证输入电压与转换后数字的关系。
启动IN0开始转换:OUT 298H读取转换结果:IN 298H图1 模数转换电路3. 用万用表测量 CLOCK、ADD-C、ADD-B、ADD-A 在实验系统上如何联系的?4. 编程按中断方式采集IN0输入的电压,在屏幕上显示出转换后的数据(用16进制数)。
5. 考虑如果采用IN7输入的电压,启动开始转换和读取转换结果的地址应该是多少?6. 按查询方式采集IN0输入的电压,软硬件如何实现?● 编程提示1. ADC0809的IN0口地址为298H.2. IN0 单极性输入电压与转换后的数字的关系为:其中,为输入电压,为参考电压,这里的参考电压为+5V电源。
3. 一次A/D 转换的程序可以为:MOV DX , port OUT DX , AL ;延时IN AL , DX(二)D/A转换部分1. 接线:CS /0832 接Y2 /IO地址用万用表测量WR2和XFER在实验系统上如何联系的?2. 实验电路原理如图2所示:图2 DAC0832电路原理图DAC0832采用单缓冲方式,具有单双极性输入端(图中的Ua、Ub),利用debug输出命令(Out 290 数据)输出数据给DAC0832,用万用表测量单极性输出端Ua及双极性输出端Ub的电压,验证数字与电压之间的线性关系。
微电子器件实验4模版 medici npn
南京邮电大学课内实验报告课程名:微电子器件设计任课教师:专业:微电子学学号:姓名:2014/2015学年第2学期南京邮电大学电子科学与工程学院《微电子器件设计》课程实验第 4 次实验报告实验内容及基本要求:实验项目名称:NPN双极晶体管特性模拟实验类型:验证每组人数:1实验内容及要求:内容:采用MEDICI仿真软件对NPN晶体管进行器件仿真。
要求:能够用MEDICI编制器件仿真程序、会看结构参数并能调整结构参数。
会画出并分析器件仿真结果。
实验考核办法:实验结束要求写出实验报告。
内容如下:1、问题的分析与解答;2、结果分析,比较不同器件结构参数对仿真结果的影响;3、器件设计的进一步思考。
实验结果:(附后)实验代码如下:TITLE TMA MEDICI Example 2P - NPN Transistor SimulationCOMMENT Simulation with Modified Emitter RegionCOMMENT Initial mesh specificationMESHX.MESH WIDTH=6.0 H1=0.250Y.MESH Y.MIN=-0.25 Y.MAX=0.0 N.SPACES=2Y.MESH DEPTH=0.5 H1=0.125Y.MESH DEPTH=1.5 H1=0.125 H2=0.4COMMENT Region definitionREGION NAME=Silicon SILICONREGION NAME=Oxide OXIDE Y.MAX=0REGION NAME=Poly POLYSILI Y.MAX=0 X.MIN=2.75 X.MAX=4.25COMMENT ElectrodesELECTR NAME=Base X.MIN=1.25 X.MAX=2.00 Y.MAX=0.0ELECTR NAME=Emitter X.MIN=2.75 X.MAX=4.25 TOPELECTR NAME=Collector BOTTOMCOMMENT Specify impurity profilesPROFILE N-TYPE N.PEAK=5e15 UNIFORM OUT.FILE=MDEX2DS PROFILE P-TYPE N.PEAK=6e17 Y.MIN=0.35 Y.CHAR=0.16+ X.MIN=1.25 WIDTH=3.5 XY.RAT=0.75PROFILE P-TYPE N.PEAK=4e18 Y.MIN=0.0 Y.CHAR=0.16+ X.MIN=1.25 WIDTH=3.5 XY.RAT=0.75PROFILE N-TYPE N.PEAK=7e19 Y.MIN=-0.25 DEPTH=0.25 Y.CHAR=0.17+ X.MIN=2.75 WIDTH=1.5 XY.RAT=0.75PROFILE N-TYPE N.PEAK=1e19 Y.MIN=2.0 Y.CHAR=0.27COMMENT Regrids on dopingREGRID DOPING LOG RATIO=3 SMOOTH=1 IN.FILE=MDEX2DSREGRID DOPING LOG RATIO=3 SMOOTH=1 IN.FILE=MDEX2DS COMMENT Extra regrid in emitter-base junction region only.REGRID DOPING LOG RATIO=3 SMOOTH=1 IN.FILE=MDEX2DS+ X.MIN=2.25 X.MAX=4.75 Y.MAX=0.50 OUT.FILE=MDEX2MPPLOT.2D GRID SCALE FILL + TITLE=”Example 2P - Modified Simulation Mesh”COMMENT Modify properties of polysilicon-emitter regionMOBILITY POLYSILI CONC=7E19 HOLE=2.3 FIRST LASTMATERIAL POLYSILI TAUP0=8E-8MODEL CONMOB CONSRH AUGER BGNCOMMENT Initial solutionSYMB CARRIERS=0METHOD ICCG DAMPEDSOLVE V(Collector)=3.0SYMB NEWTON CARRIERS=2SOLVECOMMENT Setup log files, forward bias base-emitter junction, and+ calculate the admittance matrix at 1.0 MHzLOG OUT.FILE=MDEX2PISOLVE V(Base)=0.2 ELEC=Base VSTEP=0.1 NSTEP=4+ AC.ANAL FREQ=1E6 TERM=BaseSOLVE V(Base)=0.7 ELEC=Base VSTEP=0.1 NSTEP=2+ AC.ANAL FREQ=1E6 TERM=Base OUT.FILE=MDEX2P7TITLE TMA MEDICI Example 2PP - NPN Transistor SimulationCOMMENT Post-Processing of MDEX2P ResultsCOMMENT Plot Ic and Ib vs. VbePLOT.1D IN.FILE=MDEX2PI Y.AXIS=I(Collector) X.AXIS=V(Base)+ LINE=1 COLOR=2 TITLE=”Example 2PP - Ic & Ib vs. Vbe”+ BOT=1E-14 TOP=1E-3 Y.LOG POINTSPLOT.1D IN.FILE=MDEX2PI Y.AXIS=I(Base) X.AXIS=V(Base)+ Y.LOG POINTS LINE=2 COLOR=3 UNCHANGELABEL LABEL=”Ic” X=.525 Y=1E-8LABEL LABEL=”Ib” X=.550 Y=2E-10LABEL LABEL=”Vce = 3.0v” X=.75 Y=1E-13COMMENT Plot the current gain (Beta) vs. collector currentEXTRACT NAME=Beta EXPRESS=@I(Collector)/@I(Base)PLOT.1D IN.FILE=MDEX2PI X.AXIS=I(Collector) Y.AXIS=Beta+ TITLE=”Example 2PP - Beta vs. Collector Current”+ BOTTOM=0.0 TOP=25 LEFT=1E-14 RIGHT=1E-3+ X.LOG POINTS COLOR=2LABEL LABEL=”Vce = 3.0v” X=5E-14 Y=23COMMENT Plot the cutoff frequency Ft=Gcb/(2*pi*Cbb)EXTRACT NAME=Ft UNITS=Hz+ EXPRESS=”@G(Collector,Base)/(6.28*@C(Base,Base))”PLOT.1D IN.FILE=MDEX2FI X.AXIS=I(Collector) Y.AXIS=Ft+ TITLE=”Example 2FP - Ft vs. Collector Current”+ BOTTOM=1 TOP=1E10 LEFT=1E-14 RIGHT=1E-3+ X.LOG Y.LOG POINTS COLOR=2LABEL LABEL=”Vce = 3.0v” X=5E-14 Y=1E9COMMENT Read in the simulation mesh and solution for Vbe=0.9v MESH IN.FILE=MDEX2MSLOAD IN.FILE=MDEX2S9COMMENT Vector plot of total current for Vbe=0.9vPLOT.2D BOUND JUNC SCALE FILL+ TITLE=”Example 2FP - Total Current Vectors”VECTOR J.TOTAL COLOR=2LABEL LABEL=”Vbe = 0.9v” X=0.4 Y=1.55LABEL LABEL=”Vce = 3.0v”COMMENT Potential contour plot for Vbe=0.9vPLOT.2D BOUND JUNC DEPL SCALE FILL+ TITLE=”Example 2FP - Potential Contours”CONTOUR POTEN MIN=-1 MAX=4 DEL=.25 COLOR=6LABEL LABEL=”Vbe = 0.9v” X=0.4 Y=1.55LABEL LABEL=”Vce = 3.0v”COMMENT Plot doping and carrier concentrations for Vbe=0.7vLOAD IN.FILE=MDEX2S7PLOT.1D DOPING Y.LOG SYMBOL=1 COLOR=2 LINE=1+ BOT=1E10 TOP=1E20+ X.STA=3.5 X.END=3.5 Y.STA=0 Y.END=2+ TITLE=”Example 2FP - Carrier & Impurity Conc.”PLOT.1D ELECTR Y.LOG SYMBOL=2 COLOR=3 LINE=2 UNCHANGE + X.STA=3.5 X.END=3.5 Y.STA=0 Y.END=2PLOT.1D HOLES Y.LOG SYMBOL=3 COLOR=4 LINE=3 UNCHANGE+ X.STA=3.5 X.END=3.5 Y.STA=0 Y.END=2LABEL LABEL=”Vbe = 0.7v” X=1.55 Y=4E12LABEL LABEL=”Vce = 3.0v”LABEL LABEL=”Doping” SYMBOL=1 COLOR=2LABEL LABEL=”Electrons” SYMBOL=2 COLOR=3LABEL LABEL=”Holes” SYMBO L=3 COLOR=4Medici仿真图如下:思考题。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
第四次微电子电路实验报告
姓名:徐德众班级:计科1204班学号:201208010419
实验目的
1、学会调试放大器静态工作点的方法,分析静态工作点对放大器性能的影响。
2、掌握放大器电压放大倍数、输入电阻、输出电阻,静态工作点对输出波形失真的影响。
3、掌握放大器上、下限截止频率的测试方法。
4、熟悉常用电子仪器及模拟电路实验设备的使用。
实验内容
1.放大器静态工作点测量:
从信号发生器输出f=1KHZ,Vi=30mV(有效值)的正弦电压到放大电路的输入端,将放大电路的输出电压接到双踪示波器Y轴输入端,调整电位器Rp,使示波器上显示的Vo波形达到最大不失真,然后关闭信号发生器,即Vi=0,测试此时的静态工作点,填入表中。
2.测试电压放大倍数Av
1)从信号发生器送入f=1 KHZ,Vi=30mV的正弦电压,在输出端带负载和不带负载的两种情况下(J7跳线)用万用表测量输出电压Vo,计算电压放大倍数Av=Vo/Vi。
2)用示波器观察Vi和Vo电压的幅值和相位。
把Vi和Vo分别接到双踪示波器的CH1和CH2通道上,在荧光屏上观察它们的幅值大小和相位。
不带负载时:
带负载时:
3.测量单极共射放大电路的通频带
1)当输入信号f=1KHZ,Vi=30mV,RL=5.1K ,在示波器上测出放大器中频区的输出电压Vopp(或计算出电压增益)。
2)增加输入信号的频率(保持Vi=30mV不变),此时输出电压将会减小,当其下降到中频区输出电压的0.707(-3dB)倍时,信号发生器所指示的频率即为放大电路的上限频率fH。
3)同理,降低输入信号的频率(保持Vi=30mV不变),输出电压同样会减小,当其下降到中频区输出电压的0.707(-3dB)倍时,信号发生器所指示的频率即为放大电路的下限频率fL 。
4)计算出通频带的数值,BW= fH – fL。
通频带:BW=f H−f L=1.793MHz−70.6Hz≈1.793MHz
4.输入电阻Ri的测量
按图1.2接入电路。
取R=1K ,用万用表分别测出Vs' 和Vi,则
R i=
V i
V s′−V i
R
此外,还可以用一个可变电阻箱来代替R,调节电阻箱的值,是Vi=1/2Vs’,则此时电阻箱所示阻值即为Ri的阻值。
这种测试方法通常称为“半压法”。
实验测得:V s′=0.00226V,V i=0.00105V,从而
R i=
105
226−105
×1KΩ=867.7Ω
5. 输出电阻Ro的测量
按图1.2接入电路。
取RL=5.1k ,用万用表分别测出RL=5.1k 时的开路电压Vo及RL=5.1k 时的输出电压VoL,则
R0=V0−V OL
V OL
R L
实验测得:V0=2.36mV,V OL=1.35mV,从而
R0=236−135
135
×5.1KΩ=3.81KΩ
实验总结
1、总结R C,R L及静态工作点对放大器电压放大倍数、输阻、输出电阻的影响。
答:Rc越大,电压放大倍数越大、输入电阻不受影响、输出电阻越大。
Ri越大,电压放大倍数越小、输入电阻越小、输出电阻不受影响。
静态工作点中电流越大,电压放大倍数越大、输入电阻越小、输出电阻不受影响。
但静态工作点太大或太小容易导致三极管进入饱和或截止。
2、讨论静态工作点变化对放大器输出波形的影响。
答:静态工作点在特性曲线的位置如果上升(变大),那么Q点会到达饱和区,
会出现饱和失真,也就是正弦波信号的上半部分会缺失。
静态工作点在性曲线的位置如果下降(变小),那么Q点会到达截止区,会出现截止失真,也就是正弦波信号的下半部分会缺失。
五思考题
1.加大输入信号Vi 时,输出波形可能会出现哪几种失真?分别是由什么原因引起的?
答:饱和失真,就是信号顶部被削平了;截止失真,信号底部被削平了;双峰失真,顶部和底部都削平了,信号过大
2.影响放大器低频特性fL 的因素有哪些?采取什么措施使fL降低?
答:降低f L,可以增大RC、R be.
3.提高电压放大倍数Av会受到哪些因素限制?
答:要提高Av, 则增大R b1、R b2、RC,降低R e、R be.
4.测量输入电阻Ri、输出电阻Ro时,为什么测试电阻R要与Ri或Ro相接近?
答:因为这样可以R过大或者过小造成测量相对误差以及计算中舍入相对误差较大,这样精度更高。
5.调整静态工作点时,Rb1 要用一个固定电阻和电位器串联,而不能直接用电位器,为什么?
答:当微调电阻调到零时,发射结就直接接到Vcc上,将导致发射结立刻烧毁!所以,要给这个微调电阻上串联一个固定电阻做为保护之用。
附录:图1-1
图1-2。