EDA数字密码锁的设计

合集下载

数字密码锁课程设计eda

数字密码锁课程设计eda

数字密码锁课程设计eda一、课程目标知识目标:1. 学生理解数字密码锁的基本原理,掌握其组成部分及功能。

2. 学生掌握二进制数与十进制数的转换方法,并能应用于数字密码锁的设计与破解。

3. 学生了解数字密码锁的安全性评估标准,能分析常见密码锁的优缺点。

技能目标:1. 学生能运用所学知识设计简单的数字密码锁,具备实际操作能力。

2. 学生能通过小组合作,进行数字密码锁的破解,提高问题解决能力。

3. 学生能运用所学知识,对数字密码锁的安全性进行评估,提升分析能力。

情感态度价值观目标:1. 学生对数字密码锁产生兴趣,培养对电子技术的热爱。

2. 学生在小组合作中,学会沟通、协作,培养团队精神。

3. 学生认识到数字密码锁在生活中的应用,增强学以致用的意识。

本课程针对五年级学生,结合其年龄特点,注重培养学生的学习兴趣和动手能力。

课程性质为实践性较强的信息技术课程,要求学生在掌握基本原理的基础上,能将所学知识应用于实际操作。

通过本课程的学习,旨在提高学生的信息技术素养,培养创新精神和实践能力。

教学要求以学生为主体,注重启发式教学,引导学生主动探索、合作交流,实现课程目标的达成。

后续教学设计和评估将围绕以上具体学习成果展开。

二、教学内容1. 数字密码锁基本原理:介绍密码锁的起源、发展及分类,重点讲解数字密码锁的工作原理和组成部分。

2. 二进制与十进制转换:回顾二进制与十进制的概念,讲解转换方法,并通过实例进行操作演示。

3. 数字密码锁设计与制作:讲解数字密码锁的设计方法,引导学生动手制作简单的数字密码锁,并分析其安全性。

4. 数字密码锁破解:介绍常见的密码破解方法,组织学生进行小组合作,尝试破解数字密码锁,提高问题解决能力。

5. 数字密码锁安全性评估:讲解安全性评估标准,分析各类数字密码锁的优缺点,提升学生的分析能力。

教学内容安排和进度:第一课时:数字密码锁基本原理、二进制与十进制转换;第二课时:数字密码锁设计与制作;第三课时:数字密码锁破解;第四课时:数字密码锁安全性评估。

EDA课程设计报告电子密码锁设计

EDA课程设计报告电子密码锁设计

目录第1章概述 (3)第2章设计要求 (4)第3章总体框图 (5)第4章功能模块 (7)4.1 输入模块 (7)4.2 控制模块 (11)4.3 显示模块 (17)第5章总体设计电路图 (20)第6章设计心得体会 (20)第1章概述在当今社会中,人们对于隐私的保护和对物品安全的重视程度与日俱增。

因此,使用了现代电子技术的电子密码锁便有了广泛的应用前景。

本次所设计的电子密码锁,能够实现该六位十进制并行密码保护,当输入的密码与锁内密码一致时,绿灯亮,开锁,当输入的密码与锁内的密码不一致时,红灯亮,不能开锁。

密码锁的密码可由用户自行设置,如果用户忘记密码,可以用初始密码来重新设置密码。

为人们的财产、信息安全提供了可靠地保障。

本次设计基于VHDL语言,对并行六位电子密码锁进行设计,并对设计过程进行了详细描述。

电子密码锁在对财产安全保护等方面都有着重要作用应用前景非常广泛。

开锁代码为六位十进制数,当输入代码的位数和位值与锁内给定的密码一致,且按规定程序开锁时,方可开锁,并点亮开锁指示灯。

否则,系统进入“错误”状态。

开锁程序由设计者确定,并要求锁内给定的密码是可调的且预置方便、保密性好。

在这我将设计一个具有较低成本的电子密码锁,本文讲述了我整个设计过程及收获。

讲述了电子密码锁的的工作原理以及各个模块的功能,并讲述了所有部分的设计思路,对各部分电路方案的选择、元器件的筛选、以及对它们的调试、对波形图的分析,到最后的总体图的分析。

第2章设计要求本设计名称为基于VHDL语言的电子密码锁,用四个模块,分别为时序控制模块、输入模块、控制模块、显示模块,来控制密码的输入、验证、修改与显示。

设计所要实现的功能为:1、数码输入:设计六位密码的电子密码锁,用四个拨码开关(key1~key4)输入,并通过七段数码管显示输入密码。

2、密码验证:输入密码后, 密码正确时开锁,绿灯亮,红灯灭,表示开锁成功。

3、错误显示:当密码输入错误时,绿灯灭,红灯亮,表示开锁失败。

基于EDA技术的电子密码锁设计

基于EDA技术的电子密码锁设计

基于EDA技术的电子密码锁设计基于EDA 技术设计的电子密码锁,以其价格便宜、安全可靠、使用方便,受到了人们的普遍关注。

而以现场可编程逻辑器件(FPGA)为设计载体,以硬件描述语言(VHDE)为主要表达方式,以QuartusⅡ开发软件和GW48EDA 开发系统为设计工具设计的电子密码锁,由于其能够实现数码输入、数码清除、密码解除、密码更改、密码上锁和密码解除等功能,因此,能够满足社会对安全防盗的要求。

1 电子密码锁的基本功能电子密码锁主要实现的功能包括:(1)数码输入:按下一个数字键,其对应的数字就显示在最右边的数码管上,同时将先前输入的所有数字向左移动一位。

设计密码为4 位,系统只能显示前4 位输人的数码。

(2)数码清除:当按下清除键时,清除前面输入的所有值,并显示为--- -。

(3)密码解除:按下55#键,可以将电子密码锁的旧密码解除。

(4)密码更改:将旧密码解除之后,可以进行密码更改。

输入任意四位密码数字,再按#号就可以将输入的数码当作新的密码。

(5)密码上锁:输入新的密码之后,按下11# 键,可以进行密码上锁操作。

(6)密码解锁:按下99#键,再输入数码;如果输入与系统储存密码一致,密码锁就能开启;否则不能解锁。

2 电子密码锁的结构原理2.1 电子密码锁的整体结构电子密码锁的整体结构如图1 所示,它包括密码锁输入模块、控制模块和显示模块等。

2.2 密码锁输入模块密码锁输入模块的电路框图如图2 所示,它由时序产生电路、键盘扫描电路、弹跳消除电路、键盘译码电路和按键存储电路组成。

时序产生电路用于产生电路中三种不同频率的工作脉冲波形,包括系统时钟信号、弹跳消除取样信号和键盘扫描信号。

键盘电路可提供键盘扫描信号。

该信号由ky3~ky0 进入键盘,其变化的顺序为1110-1101-1011-0111-1110 周而复始。

扫描信号0111 代表扫描的为*、0、#这一排按键,当*这个按键被按下时,由kx2~kx0 读出的值为011。

EDA课设报告(14位密码锁)

EDA课设报告(14位密码锁)

EDA课程实习报告课程名称:EDA课程实习报告课程号:专业:班级:学号:学生姓名:指导教师:日期:课程设计任务书姓名学号班级学院课程EDA课程设计任务书题目简易14位数字密码锁控制电路设计目的1、14数字密码分成高7位(DH6-DH0)和低7位(DL6-DH0),用数字逻辑开关预置,输出信号out表示开锁,否则关闭。

2、14位数字密码分时操作,先预置高7位,然后再置入低7位。

3、要求电路工作可靠,保密性强,开锁出错立即报警,用声光两种形式同时报警。

4、利用maxplus2软件进行设计、编译、并在FPGA芯片上实现。

5、14位密码自己设定,比如:“10001010100010”。

本次设计采用本人学号后三位二进制8421码+两位:一班是01,二班10,三班11,四班00.设计要求1. 设计简易14位数字密码模块IC9A模块,模块IC9A采用VHDL实现。

2. 设计一个报警信号电路,方法不限。

3. 在IC9A模块基础上设计14位数字密码锁的顶层电路。

目录一、摘要--------------------------------------1二、课程设计目的-----------------------------2三、课程设计要求-----------------------------2四、设计与分析-------------------------------3五、译码电路设计-----------------------------6六、报警电路信号产生器----------------------12七、muxplusⅡ仿真----------------------------17八、试验箱下载测试---------------------------19九、课设心得----------------------------------22十、附件一:顶层原理图---------------------- 24 十一、附件二:管脚列表-------------------25一、摘要十四位数字密码锁是一个比较实用且实现目的明确效果明显的实验。

eda课程设计数字密码锁

eda课程设计数字密码锁

实验报告实验项目名称:密码锁的设计实验项目性质:普通实验所属课程名称:VHDL程序设计实验实验计划学时:4一、实验目的掌握VHDL程序设计方法二、实验内容和要求(1) 密码器的工作时钟由外部晶振来提供时钟频率,默认为1Hz(2) 密码的设置和输入由外接键盘完成;(3) 密码可以由锁的所有者随意设置并可更改,这里采用3位十进制数字作为密码(4) 不小心输错1位密码可以重新输入;(5) 对输入的密码数字进行显示;(6) 密码输入正确后密码锁将开启并且出现输入有效指示,如绿灯亮;(7) 密码输错后会出现错误指示,如红灯亮。

连续输错3次则扬声器发出报警信号,只有锁的所有者才能解除报警。

(8)在触动第一个按钮后的5秒内若未将锁打开,则电路自动进入自锁状态,使之无法再打开,并由扬声器发出持续20秒的报警信号,30分钟后自动解锁。

在软件工具平台上,进行VHDL语言的各模块编程输入、编译实现和仿真验证。

三、实验主要仪器设备和材料计算机四、实验方法、步骤及结构测试1系统设计与方案论证2系统功能描述3设计4用VHDL文本输入方式,进行各个模块的设计输入、编译和仿真分块单独调试仿真5总结一.设计1系统设计根据系统的设计要求,系统设计采用自顶向下的设计方法。

顶层设计采用原理图的设计方式和系统的整体组装,分别由控制模块、寄存器模块、比较器模块等部分组成。

即按照这三个组成模块定义相应的芯片引脚和输入输出的参数。

2模块功能系统主要由3个模块组成,分别是控制模块、寄存器模块、比较器模块。

2.1 控制模块控制模块采用有限状态机设计,将系统分为7个状态,即开锁状态(outlock)、安锁状态(inlock)、输入密码状态(input)、密码初验正确状态(right)、密码初验错误状态(wrong)、报警状态(alarm)、修改密码状态(change)。

状态转移图如图2-2-1。

图2-2-1 状态转移图系统上电时,处于开锁状态,当输入修改密码xiu信号时,系统进入修改密码状态;若输入安锁lock信号,系统进入安锁状态,锁闭合:在安锁状态,输入输入密码start 信号,系统进入输入密码状态;在输入密码状态,如输入密码内容和长度均正确,进入密码初验正确状态,如果密码错误,进入密码初验错误状态;在密码初验正确状态,输入确认信号enter时,进入开锁状态,在开锁状态kai信号等于‘1’;在密码初验错误状态,输入确认信号enter时,进入报警状态;在报警状态。

eda电子密码锁课程设计

eda电子密码锁课程设计

eda电子密码锁课程设计一、课程目标知识目标:1. 理解EDA(电子设计自动化)的基本概念,掌握其在电子密码锁设计中的应用。

2. 学习并掌握电子密码锁的基本原理,包括密码设置、存储、识别和验证过程。

3. 掌握电子密码锁设计中涉及的电子元件、电路图绘制及电路功能。

技能目标:1. 能够运用EDA软件完成电子密码锁的电路设计,具备基本的电路仿真能力。

2. 能够运用编程语言(如C语言)编写简单的密码验证程序,实现电子密码锁的功能。

3. 学会使用常见的电子测量工具,对电子密码锁进行调试和故障排查。

情感态度价值观目标:1. 培养学生对EDA技术及其在电子设计中的应用产生兴趣,激发学生的创新意识和探索精神。

2. 培养学生团队协作意识,提高沟通与协作能力,学会共同解决问题。

3. 增强学生的安全意识,了解电子密码锁在实际应用中的重要性,培养学生的责任心。

课程性质:本课程为实践性较强的课程,注重理论知识与实际操作相结合,旨在提高学生的实际动手能力和创新能力。

学生特点:学生具备一定的电子基础知识,对EDA技术有一定了解,但对电子密码锁的设计和制作相对陌生。

教学要求:结合课程性质、学生特点,将课程目标分解为具体的学习成果,通过理论教学、实践操作、团队协作等多种教学方式,确保学生能够达到课程目标。

同时,注重过程评估和成果评估,以提高教学效果。

二、教学内容根据课程目标,教学内容分为以下三个部分:1. 理论知识学习- 电子设计自动化(EDA)基本概念与原理- 电子密码锁的工作原理及其电路组成- 密码学基础,包括密码设置、存储和验证方法教学大纲:参照教材相关章节,安排2个课时进行理论知识学习。

2. 实践操作与技能培养- EDA软件使用,包括电路图绘制、仿真与调试- 编程语言(C语言)基础,编写密码验证程序- 电子元件识别与使用,完成电子密码锁的搭建教学大纲:实践操作部分安排4个课时,分为两次课程完成。

3. 团队协作与创新能力培养- 分组讨论,共同设计电子密码锁方案- 成果展示,组间交流与评价- 故障排查与优化,提高电子密码锁的安全性与稳定性教学大纲:团队协作部分安排2个课时,在实践操作过程中穿插进行。

EDA-密码锁

EDA-密码锁

EDA课程设计——电子密码锁一、设计目的:在学习完大部分内容后,设计一个功能和市面上一模一样的“4位数电子密码锁”,可让学生学习查找相关资料,并对小型项目开发有一定的认识。

使学生能进行模块化设计,对每部分电路进行讨论、说明与仿真验证,最后在整合起来。

二、所需器材:本设计所需的硬件主要有:矩阵键盘、七段译码器,七段管脚数码管。

三、设计内容和要求:1、数码输入:每按下一个数字键,就输入一个数值,并在显示器上的最右方显示,将先前的输入数据依序左移一个数字位置。

2、数码清除:按下此键可清除之前所有的输入值,清除成为“0000”。

3、密码更改:按下此键时会将目前的数字设定成新的密码。

4、激活电锁:按下此键可将密码锁上锁。

5、解除电锁:按下此键会检查输入的密码是否正确,密码正确即开锁。

四、设计程序和调试情况:实验程序如下:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity key_led isport (x :in std_logic_vector(3 downto 0);y :out std_logic_vector(3 downto 0);clk :in std_logic;clr :in std_logic;ch_code :in std_logic;dx :out std_logic_vector(6 downto 0);wx :out std_logic_vector( 3 downto 0);open_key :out std_logic);end key_led;architecture one of key_led issignal count :std_logic_vector(10 downto 0);signal clk_key :std_logic;signal clk_key_1 :std_logic;signal clk_led :std_logic;signal key_in_0 :std_logic_vector(7 downto 0);signal key_in :std_logic_vector(4 downto 0);signal y_0 :integer range 0 to 3;signal y_temp :std_logic_vector(3 downto 0);signal SG :std_logic_vector(6 downto 0);signal j :std_logic_vector(2 downto 0);signal i :std_logic_vector(2 downto 0);signal i_test :std_logic_vector(2 downto 0);subtype one is std_logic_vector(6 downto 0);type two is array (3 downto 0) of one;signal Q :two;signal code :two;signal key_count :std_logic_vector(2 downto 0);beginfrequency division:process(clk)beginif(clk'event and clk='1') thenif(count="11111111111") thencount<=(others=>'0');else count<=count+1;end if;end if;end process;clk_key<=count(1);clk_led<=count(0);--再产生clk_key_1分频。

EDA电子密码锁课程设计

EDA电子密码锁课程设计

目录前言 (1)1 设计内容与目的 (1)1.1 设计内容 (1)1.2 设计目的 (1)2 方案设计 (2)2.1 设计总体框图 (2)2.2 电路原理图 (2)2.3 键盘接口电路 (2)2.4 电锁控制电路 (2)2.5 输出显示电路 (3)3 功能电路的设计 (3)3.1 键盘接口电路 (3)3.2 时序产生电路 (4)3.3 键盘扫描电路 (5)3.4 键盘消抖电路 (8)3.5 键盘译码电路 (9)3.6 按键存储电路 (11)3.7 电锁控制电路设计 (15)4 系统仿真 (16)5 程序清单 (16)6 参考文献 (25)电子密码锁前言电子密码锁的使用体现了人们消费水平、保安意识和科技水平的提高,而且避免了携带甚至丢失钥匙的麻烦。

目前设计密码锁的方法很多,例如用传统的PCB 板设计、用PLC设计或者用单片机设计等等。

而用VHDL可以更加快速、灵活地设计出符合各种要求的密码锁,优于其他设计方法。

VHDL是一种符合IEEE标准的硬件描述语言,其最大的特点是借鉴高级程序设计语言的功能特性,对电路的行为与结构进行高度抽象化、规范化的形式描述,并对设计的不同层次、不同领域的模拟验证与综合优化等处理,使设计过程廷到高度自动化。

1 设计内容与目的:1.1 设计内容:设计一个简单的数字电子密码锁,密码为 4 位。

要求具备如下功能:(1)如果输入数字键,第一个数字会从显示器的最右端开始显示,此后每按下一个数字键,显示器上的数字必须往左移动一格,以便将新的数字显示出来。

(2)本密码锁为四位密码锁,当输入的数字超过4个时,不会显示第四个以后的数字。

(3)按下清零键,清除所有输入的数字,即做归零动作。

(4)按下密码确认键,检查输入的密码是否正确,密码正确即开锁。

(5)按下密码变更键,将当前输入的数字设置成新密码。

(6)设计一个万能密码,只要输入万能密码即开锁。

1.2 设计目的:随着人们生活水平的提高,如何实现家庭防盗这一问题也变的尤其的突出,传统的机械锁由于其构造的简单,被撬的事件屡见不鲜,电子锁由于其保密性高,使用灵活性好,安全系数高,受到了广大用户的亲昵,电子密码锁的使用也体现了人们消费水平、保安意识和科技水平的提高,而且避免了携带甚至丢失钥匙的麻烦。

eda课程设计电子密码锁

eda课程设计电子密码锁

eda课程设计 电子密码锁一、课程目标知识目标:1. 学生能够理解电子密码锁的基本原理,掌握其电路组成及功能。

2. 学生能够了解数字电路的基本知识,包括逻辑门、触发器等,并运用这些知识分析电子密码锁的工作原理。

3. 学生能够掌握二进制与十进制的转换方法,并应用于电子密码锁的设计。

技能目标:1. 学生能够运用所学知识设计并搭建简单的电子密码锁电路。

2. 学生能够通过实际操作,调试并优化电子密码锁的性能。

3. 学生能够运用EDA软件(如Multisim、Protel等)进行电子密码锁电路的设计、仿真和测试。

情感态度价值观目标:1. 培养学生动手实践、解决问题的能力,增强自信心和成就感。

2. 培养学生团队协作精神,学会沟通与分享,提高合作能力。

3. 增强学生对电子科技的兴趣和热爱,激发创新意识和潜能。

课程性质分析:本课程为电子技术实践课程,注重理论联系实际,强调学生的动手能力和创新能力。

学生特点分析:学生处于高中阶段,具有一定的电子基础和逻辑思维能力,对实践操作充满兴趣。

教学要求:结合课程性质和学生特点,将课程目标分解为具体的学习成果,以项目为导向,引导学生通过实践探索,完成电子密码锁的设计与制作。

在教学过程中,注重启发式教学,鼓励学生提问、思考、解决问题,培养其创新能力和实际操作能力。

后续教学设计和评估将以此为基础,确保学生达到预定的学习成果。

二、教学内容1. 理论知识:- 数字电路基础知识:逻辑门、触发器、计数器等;- 电子密码锁原理:锁体结构、电路设计、密码设置与识别;- 二进制与十进制转换方法及其应用。

2. 实践操作:- 使用EDA软件(如Multisim、Protel)进行电子密码锁电路设计;- 搭建电子密码锁电路,进行实际操作和调试;- 分析并优化电子密码锁性能,提高其安全性。

3. 教学大纲:- 第一阶段:数字电路基础知识学习,占总课时1/3;- 第二阶段:电子密码锁原理学习,占总课时1/3;- 第三阶段:实践操作,包括EDA软件使用、电路搭建、调试与优化,占总课时1/3。

EDA电子密码锁设计报告

EDA电子密码锁设计报告

目录摘要:............................................................................................................................................... - 2 -第一章:系统设计总述............................................................................................................... - 3 -1.1设计要求........................................................................................................................... - 3 -1.2 设计方案 ......................................................................................................................... - 3 -第二章:总体程序设计............................................................................................................... - 3 -2.1整体组装设计原理图.................................................................................................... - 4 -2.2 顶层模块程序调用 ....................................................................................................... - 4 -2.2.1程序部分 .............................................................................................................. - 4 -2.2.2 整体原理文件 .................................................................................................... - 6 -第三章:单元模块程序设计...................................................................................................... - 6 -3.1 输入模块 ......................................................................................................................... - 7 -3.1.1 输入模块程序.................................................................................................. - 7 -3.1.2输入模块元件 ..................................................................................................... - 8 -3.1.3输入模块仿真 ..................................................................................................... - 9 -3.2 电子密码锁系统控制模块 ....................................................................................... - 9 -3.2.1控制模块程序 ................................................................................................... - 10 -3.2.2控制模块元件 ................................................................................................... - 12 -3.2.3控制模块仿真 ................................................................................................... - 13 -3.3显示模块......................................................................................................................... - 13 -3.3.1显示模块元件 ................................................................................................... - 16 -3.3.2显示模块仿真 ................................................................................................... - 16 -第五章:收获与体验 ................................................................................................................. - 17 -参考文献 ........................................................................................................................................ - 18 -1统设计总述1.1设计要求1、密码输入:每按下一个键,要求在数码管上显示,并依次左移;2、密码删除:每删除一位,数码管上的显示右移一位,在左边空出的位补充零;3、密码设置:当四位密码输入完毕,按下设置功能键进行设置.4、密码检验:输入密码,按下检验键.1.2 设计方案在电子密码锁的设计中,我首先考虑的方法:是用密码输入删除控制模块、动态扫描模块、寄存器模块、比较模块、触发模块实现的。

EDA综合课程设计---电子密码锁的设计

EDA综合课程设计---电子密码锁的设计

SOPC/EDA综合课程设计报告设计题目:电子密码锁的设计设计者:学号:班级:指导老师:完成时间:设计报告综合测试总评格式(10)内容(40)图表(10)答辩(20)平时(20)目录目录 (1)第一章设计目的及要求 (2)1.1 设计要求 (2)第二章原理与模块介绍 (3)2.1 原理介绍 (3)2.2 模块介绍 (3)第三章模块设计 (4)3.1 输入模块 (4)3.1.1 输入模块程序 (4)3.2 控制模块 (7)3.2.1 控制模块程序 (7)3.3 显示模块 (10)3.3.1显示模块程序 (10)3.4 顶层模块 (11)第四章模块元件及其仿真 (15)4.1 输入模块元件及其仿真 (15)4.1.1 输入模块元件 (15)4.1.2 输入模块仿真 (15)图4.1.2 (15)4.2 控制模块元件及其仿真 (16)4.2.1 控制模块元件 (16)图4.2.1 (16)4.2.2 控制模块仿真 (16)图4.2.2 (16)4.3 显示模块元件及其仿真 (17)4.3.1 显示模块元件 (17)图4.3.1 (17)4.3.2 显示模块仿真 (17)图4.3.2 (17)第五章总程序设计 (18)5.1整体组装设计原理图 (18)5.1 全局模块连接图 (18)5.2 整体组合元件 (18)图5.2 整体模块 (18)5.3 顶层模块仿真 (19)第六章结论和问题讨论 (20)6.1 完成实验程度 (20)6.2 遇到的问题。

(20)6.3 存在的不足和改进思路 (20)6.4 心得体会 (20)参考文献 (22)第一章设计目的及要求1.1 设计要求设计一个具有较高安全性和较低成本的通用电子密码锁,其具体功能要求如下:(1) 数码输入:每按下一个数字键,就输入一个数值,并在显示器上的最右方显示出该数值,同时将先前输入的数据依序左移一个数字位置。

(2) 数码清除:按下此键可清除前面所有的输入值,清除成为“0000”。

EDA电子密码锁设计

EDA电子密码锁设计

电子密码锁设计一、设计要求1.手动用12个拨码开关设计三位密码(0-9)或开锁。

2.再输入密码开锁,当密码输入正确时,指示灯亮,表示开锁成功。

3.当密码输入错误时,蜂鸣器响五秒,表示开锁失败。

二、设计原理本设计中以007为万能密码以防止忘记密码时使用。

开始时锁处于关闭的状态,这时候输入万能密码将锁打开。

在锁处于打开的状态是设计密码,即此时要实现指示灯处于亮的状态,以说明此时锁处于开的状态。

设置好密码后按关闭拨码使锁关闭,指示灯处于灭的状态。

再输入三位数字进行开琐,如果输入的密码正确则指示灯亮,表示开琐成功,否则蜂鸣器发出响声,并持续五秒钟,表示开锁失败。

三、实验方案四、仿真调试和下载结果1.蜂鸣器功能波形图2、动态显示模块功能波形图3.输入万能密码后的波形图五、源程序(*.v)1.蜂鸣器程序:此程序共有两个输入信号分别为ENA和CLK2,,一个输出信号COUT。

当从信号输入模块检测到有开关输入时,信号ENA已置1,CLK2上升沿到来时,有程序使COUT为1,蜂鸣器发出报警信号,并且进入一个5秒钟的循环,时间到达时,跳出循环,蜂鸣器停止报警。

module speaker(ENA,CLK2,COUT);//ENA为使能信号,CLK为时钟信号规定工作频率input ENA,CLK2;output COUT;reg COUT;reg[3:0] Q1;always@(posedge CLK2)//CLK2为敏感信号beginif(ENA&&Q1<6)//当ENA为1并且Q1小于6时执行下面的语句Q1=Q1+1;if(~ENA)//ENA为0时,执行下面的语句Q1=0;endalwaysbeginif(Q1==6||Q1==0)COUT='b0;elseCOUT='b1;endendmodule2、动态显示模块动态显示简介:动态显示技术让不同的的数码管分时点亮。

即,某一个数码管的字段码从计算机的一个IO接口输出,通过SS0,SS1选通该数码管,让这个数码管显示自己的字符几个毫秒,然后将下一个数码管的字段码从计算机的同一个IO口输出。

eda课程设计电子密码锁

eda课程设计电子密码锁

eda课程设计电子密码锁一、课程目标知识目标:1. 学生能理解电子密码锁的基本原理,掌握其电路组成及功能。

2. 学生能描述数字电路基础知识,包括逻辑门、触发器等,并了解它们在电子密码锁中的应用。

3. 学生能解释电子密码锁的安全性分析,了解如何提高电子密码锁的安全性。

技能目标:1. 学生能够设计简单的电子密码锁电路,运用所学知识解决实际问题。

2. 学生能够运用EDA软件进行电路设计、仿真和调试,提高实践操作能力。

3. 学生能够通过小组合作,进行电路搭建和测试,培养团队协作能力和沟通能力。

情感态度价值观目标:1. 学生对电子技术产生兴趣,提高对科学研究的热情。

2. 学生在学习过程中,培养勇于尝试、不断探索的精神,增强自信心。

3. 学生能够认识到电子技术在生活中的应用,激发创新意识,关注社会发展和科技进步。

课程性质:本课程为实践性较强的电子技术课程,结合理论知识和实际操作,培养学生的动手能力和创新能力。

学生特点:学生处于中学阶段,具有一定的电子技术基础和逻辑思维能力,对新鲜事物充满好奇心。

教学要求:教师需结合学生特点,采用启发式教学,引导学生自主探究,注重理论与实践相结合,提高学生的综合运用能力。

通过课程目标的实现,使学生能够将所学知识应用于实际生活中,提高解决实际问题的能力。

后续教学设计和评估将围绕上述具体学习成果展开。

二、教学内容依据课程目标,教学内容主要包括以下几部分:1. 数字电路基础知识:逻辑门、触发器、计数器等基本概念及工作原理,重点讲解与电子密码锁相关的数字电路。

教材章节:第二章“数字电路基础”2. 电子密码锁原理:介绍电子密码锁的电路组成、工作原理及安全性分析。

教材章节:第三章“组合逻辑电路”及第八章“数字电路应用”3. EDA软件使用:学习如何使用EDA软件进行电路设计、仿真和调试。

教材章节:第五章“EDA软件及其应用”4. 电子密码锁设计与制作:指导学生运用所学知识,设计并搭建简单的电子密码锁电路。

基于EDA技术设计电子密码锁

基于EDA技术设计电子密码锁

目录摘要 (2)引言 (3)一、绪论 (3)1、EDA技术简介 (3)2、电子密码锁的系统简介 (4)3、系统设计要求 (4)三、总体框图 (4)1、密码锁的组成 (4)2、硬件的选择 (4)3、总体框图 (5)四、功能模块 (6)1、输入模块 (6)(1)功能介绍 (6)(2)(2)输入模块与仿真图形 (6)2、控制模块 (7)(1)功能介绍 (7)(2)控制模块与仿真图形 (7)3、显示模块 (10)(1)功能介绍 (10)五、电子密码锁的程序设计 (11)1、Quartus II软件介绍 (11)2、VHDL语言的特征 (12)3、VHDL语言的优点 (12)4、电路的VHDL描述 (13)六、总体设计电路图 (19)1、总体电路图 (19)2、波形仿真 (20)七、总结 (22)参考文献 (22)基于EDA技术设计电子密码锁作者:寇亚超指导教师:吴淘锁摘要:随着人们生活水平的提高,密码锁作为家庭防盗卫士的作用日趋重要,它与普通机械锁相比有一些独特的优势,尤其是其保密性强、防盗性能好,可以不用钥匙,只要记住密码即可开锁。

本文以QUARTUSII作为软件平台,用VHDL硬件语言进行设计描述,基于可编程逻辑器件,并结合EDA技术的各项优势,使得高可靠性、高保密性的电子锁的设计变得简单易行。

关键词:电子密码锁 EDA VHDLAbstract:With the improvement of people's living standard, the lock as home security guards increasingly important, compared with ordinary mechanical locks have some unique advantages, especially its confidentiality, anti-theft performance, you can not have the key, just rememberThe password to unlock. As a software platform to QUARTUSII VHDL hardware language description of the design based on programmable logic devices, combined with the advantages of EDA technology, high reliability, high-security electronic lock design becomes simple.Key words : electronic password lock EDA VHDL引言随着人们生活水平的提高和安全意识的加强,对安全的要求也就越来越高。

密码锁EDA课程设计

密码锁EDA课程设计

module //密码锁EDA设计mimasuo_ok(back,cheak,set,close,lock,warn1,warn2,a1,a2,a3,a4,a5,a6,a7,a8,clk,start,col,row,SCAN,SEG7);parameter N=10;input back,cheak,set,close,clk;output lock,warn1,warn2;reg lock,warn1,warn2;output[4:0] a1,a2,a3,a4,a5,a6,a7,a8;reg[4:0] a1,a2,a3,a4,a5,a6,a7,a8,temp,count; //将6位拓展到了8位密码reg[4:0] warn_count=5'B00000;reg[2:0] flag,cnt;reg[39:0] code;input start;input[3:0] col;output[3:0] row;output[7:0] SCAN;output[7:1] SEG7;reg[3:0] row;reg[7:0] SCAN;reg[7:1] SEG7;reg[2:0] state;reg[1:0] opr;reg[11:0] opd1;wire clk1,clk2;reg[4:0] d0,d1,d2,d3,d_reg,segdat;wire[4:0] d;reg[9:0] cntt;reg[2:0] SCANn;assign clk1=cntt[9]; //矩阵键盘扫描部分always@ (posedge clk) begincntt<=cntt+1;endassign clk2=~clk;assign d=(d0|d1|d2|d3);always@ (posedge clk1) beginif(start==0) beginstate<=0;row<=4'B1110;a8<=5'B00000;a7<=5'B00000;a6<=5'B00000;a5<=5'B00000;a4<=5'B00000;a3<=5'B00000;a2<=5'B00000;a1<=5'B00000;end elsecase(state)3'B000:beginrow<=4'B0111;state<=3'B001;case(col)4'B1110: d0<=5'B11111;//F4'B1101: d0<=5'B11011;//B4'B1011: d0<=5'B10111;//74'B0111: d0<=5'B10011;//3default: d0<=5'B00000;//endcaseend3'B001:beginrow<=4'B1011;state<=3'B010;case(col)4'B1110: d1<=5'B11100;//C4'B1101: d1<=5'B11000;//84'B1011: d1<=5'B10100;//44'B0111: d1<=5'B10000;//0default: d1<=5'B00000;//endcaseend3'B010:beginrow<=4'B1101;state<=3'B011;case(col)4'B1110: d2<=5'B11100;//D4'B1101: d2<=5'B11001;//94'B1011: d2<=5'B10101;//54'B0111: d2<=5'B10001;//1default: d2<=5'B00000;endcaseend3'B011:beginrow<=4'B1110;state<=3'B100;case(col)4'B1110: d3<=5'B11111;//E4'B1101: d3<=5'B11010;//A4'B1011: d3<=5'B10110;//6.4'B0111: d3<=5'B10010;//2default: d3<=5'B00000;endcaseend3'B100: beginrow<=4'B1110; state<=3'B000;if(d!=d_reg) begind_reg<=d;if(d>=5'B10000&&d<=5'B11001) begin //输入密码依次左移的代码a8<=a7; a7<=a6; a6<=a5; a5<=a4;a4<=a3; a3<=a2; a2<=a1; a1<=d;end else if(d==5'B11100) begin //清零陷阱键代码a8<=5'B000000;a7<=5'B000000;a6<=5'B000000;a5<=5'B000000;a4<=5'B000000;a3<=5'B000000;a2<=5'B000000;a1<=5'B000000;endendenddefault:state<=3'B000;endcaseendalways @(posedge clk)beginif(start==1)beginif(cheak) begin //检验输入密码是否正确count<=count-1; //count为计数定时功能if(count==1)beginif(code=={a8,a7,a6,a5,a4,a3,a2,a1})beginlock<=1; warn1<=0; warn2<=0; warn_count<=5'B00000;end //万能密码设置处elseif({a8,a7,a6,a5,a4,a3,a2,a1}==40'b1000010000100001000010000100001000010001)beginlock<=1; warn1<=0; warn2<=0; warn_count<=5'B00000;endelse beginif(warn_count==5'B00011) //连续输错3次密码则报警beginlock<=0; warn1<=1; warn2<=1; warn_count<=5'B00000;endelse beginlock<=0; warn1<=1; warn2<=0; warn_count<=warn_count+1;endendendendelse if(close)beginlock<=0; warn1<=0; warn2<=0; count<=100; warn_count<=5'B00000;endelse if(back) //逐位删除密码begina1<=a2; a2<=a3; a3<=a4; a4<=a5;a5<=a6; a6<=a7; a7<=a8; a8<=5'B0;endendendalways @(posedge clk)beginif(start==1)begin //初始密码设置部分if(set) code<={a8,a7,a6,a5,a4,a3,a2,a1};endendalways@(posedge clk2)begin //数码管显示部分if(start==0)beginsegdat<=5'B00000;SCAN<=8'B00000000;end else beginSCANn<=SCANn+1;case(SCANn)3'B111:begin segdat<=a8;SCAN<=8'B10000000;end3'B110:begin segdat<=a7;SCAN<=8'B01000000;end3'B101:begin segdat<=a6;SCAN<=8'B00100000;end3'B100:begin segdat<=a5;SCAN<=8'B00010000;end3'B011:begin segdat<=a4;SCAN<=8'B00001000;end3'B010:begin segdat<=a3;SCAN<=8'B00000100;end3'B001:begin segdat<=a2;SCAN<=8'B00000010;end3'B000:begin segdat<=a1;SCAN<=8'B00000001;endendcaseendendalways@(segdat) begincase(segdat)5'B00000:SEG7<=7'B0000000;//5'B00010:SEG7<=7'B0000001;//-5'B10000:SEG7<=7'B1111110;//0 5'B10001:SEG7<=7'B0110000;//1 5'B10010:SEG7<=7'B1101101;//2 5'B10011:SEG7<=7'B1111001;//3 5'B10100:SEG7<=7'B0110011;//4 5'B10101:SEG7<=7'B1011011;//5 5'B10110:SEG7<=7'B1011111;//6 5'B10111:SEG7<=7'B1110000;//7 5'B11000:SEG7<=7'B1111111;//8 5'B11001:SEG7<=7'B1111011;//9 5'B11111:SEG7<=7'B0001001;//= 5'B11010:SEG7<=7'B0110001;//+ 5'B11011:SEG7<=7'B0000001;//- default:;endcaseendendmodule。

EDA课程设计--简易14位数字密码锁控制电路设计

EDA课程设计--简易14位数字密码锁控制电路设计

component yima port(a:in std_logic_vector(6 downto 0); b:in std_logic_vector(6 downto 0); out0:out std_logic); end component; signal s1:std_logic_vector(6 downto 0); begin u1:ic port map (clk,clr,d,s1); u2:yima port map (s1,d,out2); end art3; 2、实体图
use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity yima is port(a:in std_logic_vector(6 downto 0); b:in std_logic_vector(6 downto 0); out0:out std_logic); end yima; architecture are of yima is signal s3:std_logic_vector(13 downto 0); begin process(a,b) begin s3<=a&b; if(s3="01000100100110")then out0<='1'; else out0<='0'; end if;
EDA 课 程 设 计
课程设计任务书
姓 名
学号
班 级
学院 电子信息学院
课 程
EDA课程设计
题 目
简易 14 位数字密码锁控制电路设计
14 位数字密码分成高七位和低七位,用数字逻辑开关预置,输出信

EDA数字密码锁的设计

EDA数字密码锁的设计

目录第一章概要1.1 背景简介1.2设计要求:第二章设计思路2.1端口定义2.2总体结构2.3 设计方案第三章单元电路设计3.1 简述3.2 密码锁输入电路的设计3.3 密码控制电路的设计3.3.1VHDL源程序3.3.2仿真波形3.4密码显示电路的设计3.4.1 密码锁显示电路设计简介3.4.2 VHDL程序第四章整体组装4.1 VHDL源程序或原理图4.2 整体仿真波形第五章设计体会第一章概要1.1 背景简介:数字密码锁随着电子工业的发展,数字电子技术已经深入到了人们生活的各个层面,而且各种各样的电子产品也正在日新月异地向着高精尖技术发展。

由于电子产品的功能不断增加,使用也越来越方便,有些产品已经成为了人们日常生活中不可缺少的必备物品。

发展历史悠久的机械式门锁,因其功能单一,安全性能较差等缺点,必将被新一代的电子门锁所代替。

新颖的多功能电子门锁,集电子门锁、防盗报警器,门铃等功能于一身,而且还具有定时器呼唤,断电自动报知,显示屋内有无人和自动留言等诸多附加功能。

在未来的生活中,数字密码锁必将在学领域再创新的成绩,将给我们的生活带来更大的便利,前景不可估量。

1.2设计要求(1).具有密码输入功能;(2). 设计一个密码锁的控制电路,当输入正确代码时,输出开锁信号以推动执行机构工作,用红灯亮、绿灯熄灭表示关锁,用绿灯亮、红灯熄灭表示开锁;(3). 从第一个按钮触动后的 5 秒内若未将锁打开,则电路自动复位并进入自锁状态,使之无法再打开,并由扬声器发出持续20 秒的报警信号。

第二章设计思路2.1 端口定义:输入:采样时钟clk;译码输入data[3..0];输出:七段数码管驱动q[6..0];数值译码q1[3..0].按键指示key.2.2 总体结构:(1) 显示器(2) VHDL 程序输入(3) FPGA 芯片扬声器2.3 设计方案密码锁控制器是硬件测试密码锁控制器是硬件与软件的结合。

根据设计要求,决定以FPGA芯片和VHDL语言设计此电子密码锁。

EDA电子密码锁的设计

EDA电子密码锁的设计

EDA电子密码锁的设计单片机课程设计姓名:专业:班级学号:指导老师:沈阳理工大学2021年6月13日1----eda电子密码锁目录1.概述.................................................12.设计要求...........................................13.总体框图...........................................23.1设计方案.......................................24.电子密码锁波形仿真...............................35.功能模块............................................45.1功能模块........................................45.1.1功能介绍...................................45.1.2输入模块与仿真图形.....................45.2控制模块........................................75.2.1功能介绍...................................75.2.2输入模块与仿真图形.....................75.3显示模块......................................155.3.1功能介绍.................................155.3.2输入模块与仿真图形....................156.总体设计电路图...................................177.设计心得体会. (19)21.概述电子密码锁在生活中十分常用,在这我将设计一个具备较低成本的电子密码锁,本文讲诉了我整个设计过程及斩获。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
第四章整体组装
4.1密码锁整体原理图
VHDL源程序:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity exp19 is
port( Clk : in std_logic;--时钟信号
end decoder;
architecture rt1 of decoder is
begin
process(clk,data)
begin
if rising_edge(clk)
then
case data is
when "0000"=>q<="0111111";q1<="0000";
when "0001"=>q<="0000110";q1<="0001";
1.2设计要求
(1).具有密码输入功能;
(2).设计一个密码锁的控制电路,当输入正确代码时,输出开锁信号以推动执行机构工作,用红灯亮、绿灯熄灭表示关锁,用绿灯亮、红灯熄灭表示开锁;
(3).从第一个按钮触动后的5秒内若未将锁打开,则电路自动复位并进入自锁状态,使之无法再打开,并由扬声器发出持续20秒的报警信号。
(1)密码输入:每按下一个键,要求在数码管上显示,并依次左移。
(2)密码校验:如果有按键按下,LED1亮起,直到松开该按键;用LED2指示门的状态,也就是密码校验结果,如果密码校验正确,LED2亮起,否则如果密码校验错误LED2闪烁4次,然后熄灭,表明密码错误。
(3)错误报警:密码输入连续三次错误开始报警。
when "0010"=>dataout<="10100100";--2
when "0011"=>dataout<="10110000";--3
when "0100"=>dataout<="10011001";--4
when "0101"=>dataout<="10010010";--5
when "0110"=>dataout<="10000010";--6
3.4.1密码锁显示电路设计简介
七段数码管是电子开发过程中常用的输出显示设备。在本设计中使用的是8个四位一体、共阴极型七段数码管。由于七段数码管公共端连接到GND(共阴极型)当数码管的中的一个段被输入高电平,则相应的这一段被点亮。反之则不亮。共阳极性的数码管与之相反。四位一体的七段数码管在单个静态数码管的基础上加入了用于选择哪一位数码管的位选信号端口。八个数码管的a、b、c、d、e、f、g、h、dp都连在了一起,8个数码管分别由各自的位选信号来控制,被选通的数码管显示数据,其余关闭。在键盘获取到行值和列值以后,组合成一个8位的数据,根据实现不同的编码在对每个按键进行匹配,找到键值后由7段码管显示即可。
根据系统的设计要求,系统设计采用自顶向下的设计方法。顶层设计采用原理图的设计方式和系统的整体组装,分别由密码锁输入模块、密码锁控制模块和密码锁显示译码模块等部分组成。即按照这三个组成模块定义相应的芯片引脚和输入输出的参数。
第三章单元电路设计
3.1简述:密码锁的内部结构及主要功能
密码锁的内部结构即密码锁控制器由密码锁主体部分和外部指示电路组成。其中密码锁主要作用是接收输入的密码并进行密码的验证操作;外部指示电路的主要作用是用LED数码管显示输入的密码以及根据密码验证的结果给出不同的LED指示灯和数码管显示;当连续输入三次次错误密码时,启动报警装置,报警装置则采用扬声器。密码锁控制器的主要功能有:
3.4.2显示电路的VHDL程序如下:
library ieee;
use ieee.std_logic_1164.all;
entity led_disp is
port(
datain:in std_logic_vector(3 downto 0);
dataout:out std_logic_vector(7 downto 0)
4.1VHDL源程序或原理图
4.2整体仿真波形
第五章设计体会
第一章概要
1.1背景简介:
数字密码锁随着电子工业的发展,数字电子技术已经深入到了人们生活的各个层面,而且各种各样的电子产品也正在日新月异地向着高精尖技术发展。由于电子产品的功能不断增加,使用也越来越方便,有些产品已经成为了人们日常生活中不可缺少的必备物品。发展历史悠久的机械式门锁,因其功能单一,安全性能较差等缺点,必将被新一代的电子门锁所代替。新颖的多功能电子门锁,集电子门锁、防盗报警器,门铃等功能于一身,而且还具有定时器呼唤,断电自动报知,显示屋内有无人和自动留言等诸多附加功能。在未来的生活中,数字密码锁必将在学领域再创新的成绩,将给我们的生活带来更大的便利,前景不可估量。
when "0010"=>q<="1011011";q1<="0010";
when "0011"=>q<="1001111";q1<="0011";
when others=>NULL;
end case;
end if;
end process;
end rt1;
3.3.2密码锁控制模块仿真图:
3.4密码显示电路的设计
if(Clk'event and Clk='1')
then
if(Clk_Count1<10)
then
Clk_Count1<=Clk_Count1+1;
else
Clk_Count1<="0001";
end if;
end if;
end process;
Clk1KHz<=Clk_Count1(2);
process(Clk1KHz)
3.3密码锁控制器设计
密码锁控制电路软键盘的实现,通常在一个键盘中使用了一个瞬时接触开关,微处理器可以容易地检测到闭合。当开关打开时,通过处理器的I/O口的一个上拉电阻提供逻辑1;当开关闭合时,处理器的I/O口的输入将被拉低得到逻辑0。
3.3.1VHDL源程序
library ieee;
use ieee.std_logic_1164.all;
第二章设计思路
2.1端口定义:
输入:采样时钟clk;译码输入data[3..0];
输出:七段数码管驱动q[6..0];数值译码q1[3..0].按键指示key.
2.2总体结构:
(1)显示器
(2) VHDL程序输入
(3) FPGA芯片扬声器
2.3设计方案
密码锁控制器是硬件测试密码锁控制器是硬件与软件的结合。根据设计要求,决定以FPGA芯片和VHDL语言设计此电子密码锁。用一片FPGA芯片实现,从而大大简化了系统结构,降低了成本,提高了系统的保密性和可靠性。这种设计不仅简化了系统结构,降低了成本,更提高了系统的可靠性和保密性。另外,采用可编程逻辑器件开发的数字系统,方便地升级和改进。
Display : out std_logic_vector(7 downto 0);--七段码管显示
SEG_SEL : buffer std_logic_vector(2 downto 0));--七段码管片选
end exp19;
architecturebehave of exp19 is
signal keyr,keyc : std_logic_vector(3 downto 0);
Rst : in std_logic;--复位信号
Kr : in std_logic_vector(3 downto 0);--键盘行
Kc : buffer std_logic_vector(3 downto 0);--键盘列
SPK : out std_logic;--扬声器输出
KEY_State : out std_logic;--按键指示
);
end led_disp;
architecture rtl of led_disp is
begin
process(datain)
begin
case datain is
when "1010"=>dataout<="11000000";--0
when "0001"=>dataout<="11111001";--1
signal kcount : std_logic_vector(2 downto 0);
signal kflag1,kflag2 : std_logic;
signal buff1,buff2,buff3,buff4,buff5,buff6 : integer range 0 to 15;
signal push_num : integer range 0 to 15; --按键次数
process(Clk2Hz)
begin
if(Clk2Hz'event and Clk2Hz='1')
then
Clk1Hz<=not Clk1Hz;
when "0111"=>dataout<="11111000";--7
when "1000"=>dataout<="10000000";--8
相关文档
最新文档