IC课设报告电流源负载共源极放大器的设计

IC课设报告电流源负载共源极放大器的设计
IC课设报告电流源负载共源极放大器的设计

IC课设报告

题号:

题目:电流源负载共源极放大器的设计指导老师:

院系:

专业班级:

学号:

同组成员:

姓名:

目录一.背景简介

1.CMOS

2.Hspice

二.设计目标

三.设计思路概述

1.流程

2.高频分析

四.具体设计步骤

1.选取W/L的值

2.仿真单个MOS的特性

3.相关参数计算

4.小信号等效电路及增益,带宽

5.整体仿真增益和带宽结果

五.电路相关曲线仿真

1.直流特性仿真

2.瞬态分析仿真

3.功耗分析仿真

4.相位仿真曲线

5.幅值仿真曲线

六.理论与实际的讨论

1.数据

2.继续思考

七.课程小结

1.收获和建议

2.成员工作量

一.背景简介

1.CMOS

当今世界,随着计算机、通讯、网络技术的迅猛发展和全球经济一体化进程的加快,发展微电子产业的重要性已日益为各国政府及有识之士所接受。当今社会进入到了一个崭新的信息化时代,微电子技术正是信息技术的核心技术。集成电路(Integrated Circuit,简称IC)就是将有源元件(二极管、晶体管等)和无源元件(电阻、电容等)以及它们的连线一起制作在半导体衬底上形成一个独立的整体. 集成电路的各个引出端就是该电路的输入,输出,电源和地。学习了解IC方面的知识已成为每一个当代大学生的基本要求。

共源极放大器是CMOS电路中的基本增益级。它是典型的反向放大器,负载可以是有源负载或者电流源。共源极放大器需要得到比有源负载放大器更大的增益。设计电流源负载共源极放大器对学习了解IC 有着本质的帮助和提高,这是理论与实践的相结合。下图是电流源负载共源放大器。这种结构采用电流源负载代替PMOS二极管连接的负载。电流源是共栅结构,采用栅极加直流电压偏置VGG2 的P沟道管实现。小信号性能可由模型中用gm2vout=0(考虑M2 的栅极交流接地)来求得。

小信号电压增益为:

取决于器件尺寸、电流和使用的技术,这个电路的典型增益在-10~-100 的范围内。为了用电阻性负载得到类似的增益,必须使用远远高于5V的电源电压。电阻性负载方法还会大大提高功率损耗。但是,这里应该提到的是:对于低增益、高频率级,(如果不需要大量硅面积)用电阻负载会更理想,因为它们一般都有较小的寄生电容。它们通常还比有源负载噪声小。这是个有意义的结果:随着直流电流的减小,增益上升。这是因为输出电导正比于偏置电流,而跨导正比于偏置电流的平方根。增益随ID减小而增加可一直保持到电流接近亚阀值工作区,即弱反型层出现,此时跨导变为正比于偏置电流且小信号电压增益成为偏置电流函数的常数。如果我们假设亚阀区发生在电流近似为1μА的时候,又如果(W/L)1=(W/L)2=10,使用0.8μm模型的参数值可给出图所示的电流负载CMOS共源放大器的最大增益近似为-521V/V。图示出了电流源负载作为直流偏置电流的函数的典型关系(假设亚区效应发生在近似等于1μА的时候)。

上图为中M2 的栅极接到M1 的栅极,即为推挽COMS共源放大器。比较电流源和推挽共源放大器,可以得出,采用同样的晶体管,推挽共源放大器具有更高的增益。这是由于两个晶体管都由vIN驱动的缘故。推挽共源放大器的另一个优点是它的输出可以端到端的满摆幅工

作。推挽共源放大器的小信号能取决与它的工作区。如果假设M1,M2 都处于饱和区,就能得到最大电压增益。我们可以借助图4-5 来分析小信号性能。

小信号电压增益是:

我们注意到与电流源/漏共源放大器一样,电压增益同样受直流电流的影响。

2.Hspice

Hspice(现在属于Synopsys 公司)是IC 设计中最常使用的工业级电路仿真工具,用以对电子电路的稳态、瞬态及频域的仿真和分析,可以精确的仿真、分析、优化从直流到高于100GHz 频率的微波电路。目前,一般书籍都采用Level 2 的MOS Model 进行计算和估算,与Foundry 经常提供的Level 49 和Mos 9、EKV 等Library 不同,而以上Model 要比Level 2 的Model 复杂的多,因此Designer 除利用

Level 2 的Model 进行电路的估算以外,还一定要使用电路仿真软件Hspice、Spectre 等进行仿真,以便得到精确的结果。Hspice 输入网表文件为.sp 文件,模型和库文件为.inc 和.lib,Hspice 输出文件有运行状态文件.st0、输出列表文件.lis、瞬态分析文件.tr#、直流分析文件.sw#、交流分析文件.ac#、测量输出文件.m*#等。其中,所有的分析数据文件均可作为AvanWaves 的输入文件用来显示波形。

Hspice 所使用的单位

Hspice有如下功能:

§电路级和行为级仿真

§直流特性分析、灵敏度分析

§交流特性分析

§瞬态分析

§电路优化(优化元件参数)

§温度特性分析

§噪声分析

§傅立叶分析

§Monte Carlo, 最坏情况,参数扫描,数据表扫描

§功耗、各种电路参数(如H参数、T参数、s参数)等可扩展的功能分析

文件结构:

二.设计目标

设计如图所示的电流源负载共源级放大器,要求满足下列指标:

I REF

三.设计思路概述

1.流程

(1).先由指标值(主要是增益与带宽)由公式倒推出大致符合设计要求的NMOS与PMOS的W/L的值。

(2).写整个电路的网表,并用Hspice仿真验证所得到的W/L 的值是否满足指标值,若不满足,则适当修改W/L的值,直至大致满

足指标值。

接下来按题目所给的设计步骤完成相关参数的计算,即:

(1).仿真单个MOS的特性,得到某W/L下的MOS管的小信号输出电阻和跨导。

(2).根据上述仿真得到的器件特性,推导上述电路中的器件参数。

(3).手工推导上述尺寸下的共源级放大器的直流工作点、小信号增益、带宽。

(4).如果增益和带宽不符合题目要求,则修改器件参数,并重复上述计算过程。

(5).一旦计算结果达到题目要求,用Hspice仿真验证上述指标。

(6).如果仿真得到的增益和带宽不符合要求,则返回步骤2,直至符合要求。

2.高频分析

为了进行高频分析,共源放大器的小信号等效电路如图2 所示。这里,Cgs1 是M1 的栅极-源极电容。注意,我们已经假设输入源极的输出电容可以忽略。电容C2 由M1和M2 的漏极-衬底电容与负载电容CL 的并联组成。CL 一般占主导地位。

在高频下分析电路可使用节点分析。在节点v1,我们把所有离开节点的电流相加并设置总和为零,得到

其中:。而且,在输出节点有

其中:。

得:

有趣的是,-3dB频率下的结果与使用零值时间常数分析技术[Gray,1993]的结果相同。在这个技术中,通过假设其它所有电容器为零,计算出每个电容器的时间常数,在问题中用电压源代替电容器,再用电压源与从电压源流出的电流的比来计算出那个电容器看到的

电阻。电容器看到的时间常数就是电容乘以那个电容看到的电阻。整个电路-3dB的频率为1 除以单个电容时间常数的总和。对于共源放大器,Cgs1 看到的电阻是输入源极阻抗Rin,Cgd1 看到的电阻。

在较高频率下,当增益不比1 大很多时,第二个极点和零点必须考虑。第二个极点的频率可通过假设极点是真实的并分隔很远,则分母可以表示为

四.具体设计步骤

1.选取W/L的值

由指标手工倒推出来的W/L的值始终无法仿真满足所有的指标值,我也尝试了任意修改W/L的值,却始终找不到仿真后满足增益

指标和带宽指标的适当值。无奈之下,只好记下了以下几组较接近指标的W/L的值(优先保证增益为30dB)。

综合考虑增益和带宽指标且考虑到IREF应尽可能小,所以选取NMOS W/L:90u/3u ;PMOS W/L:90u/3u这一组数据进行设计。

2.仿真单个MOS的特性

先仿真NMOS(W/L=90u/3u)的特性:

网表:EX2.1 use spice to simulation MOS output

.lib "D:\CMOS_035_Spice_Model.lib" tt

.option post=2 numdgt=7 tnom=27

M1 2 1 0 0 N_33 W=90U L=3U

VDS 2 0

VGS 1 0 1.6

.DC VDS 0 3 0.1

.PRINT DC i(m1)

.END

仿真曲线:

再仿真单个PMOS(W/L=90u/3u)管的特性:

网表:EX2.1 use spice to simulation MOS output .lib "D:\CMOS_035_Spice_Model.lib" tt

.option post=2 numdgt=7 tnom=27

M1 2 1 0 0 p_33 W=90U L=3U

VDS 2 0

VGS 1 0 -1.6

.DC VDS 0 -3 0.1

.PRINT DC i(m1)

.END

仿真曲线:

3.相关参数计算

NMOS:由NMOS仿真曲线知饱和区内两点:V DS1=2.2V,I D1=1.97m;V DS2=2.8V,I D2=1.98m。

由公式:I D1/ I D2=(1+λV DS1)/ (1+λV DS2)

可算得:λn=0.0086/V

CMOS:由NMOS仿真曲线知饱和区内两点:V DS1=2.5V,I D1=5.51u;V DS2=2V,I D2=5.45u。

由公式:I D1/ I D2=(1+λV DS1)/ (1+λV DS2)

可算得:λp=0.023/V

由公式:r=1/(λI D)

取ID=1.98m,则可算得小信号电阻r ds:

r n=58.7K r p=21.9K

4.小信号等效电路及增益,带宽

从0.035um工艺表中可查得:

图中Vin=V GS=1.6V, C M断开,Rout= r n // r p, Cout=5pF NMOS:

知:g m3=0.005

由小信号等效电路图可得到增益公式:

Av=g m3 *( r n // r p )

带宽公式的推导:

输出端接5PF的电容时,

Vout/Vin= g m3 *(( r n // r p )//(1/CS))

= g m3 /(1+RCS) (R= r n // r p)

= g m3 /(1+jRCW)

其模为:g m3 /sqrt(1+R*R*C*C*W*W)

当sqrt(1+R*R*C*C*W*W)=sqrt(2)时,对应的频率为带宽。即:R*R*C*C*W*W=1,即:W=1/(RC)

f=W/(2π)=1/(2πRC)

由此就得到了带宽公式:1/(2πRC)

带入值计算知:

增益:20lgAv=20lg(g m3 *( r n // r p ))=38dB

带宽:1/(2πRC)=2mHZ

如之前说明的一样,增益达到了要求,带宽达不到。

5.整体仿真增益和带宽结果

I REF

提供给NMOS的VGS为直流1.6V,交流1V.假设输出端接5PF 的电容,当放大器被偏置在过渡区时,试用HSPICE Vout(ω)/Vin(ω)的小信号频率响应,给出从0Hz到100MHz范围内的幅度和相位响应。

网表:

common source amp ac analysis

.options list node post

.op

M1 1 1 VDD VDD p_33 w=90u l=3u

M2 2 1 VDD VDD p_33 w=90u l=3u

M3 2 3 0 0 n_33 w=90u l=3u

cl 2 0 5p

IREF 1 0 1.99m

Vdd VDD 0 dc 3.3

Vin 3 0 dc 1.6 AC 1

.AC DEC 10 100 50MEG

.PRINT VDB(2) VP(2) vm(2)

.LIB 'D:\CMOS_035_Spice_Model.lib' TT .END

仿真曲线:

低频功率放大器电路设计

参加全国大学生电子设计大赛的同学们加 油了! 低频功率放大器设计与总结报告 作者:王汉光 一、任务 设计并制作一个低频功率放大器,要求末级功放管采用分立的大功率MOS 晶体管。 二、要求 1.基本要求 (1)当输入正弦信号电压有效值为5mV时,在8Ω电阻负载(一端接地)上,输出功率≥5W,输出波形无明显失真。 (2)通频带为20Hz~20kHz。 (3)输入电阻为600Ω。 (4)输出噪声电压有效值V0N≤5mV。 (5)尽可能提高功率放大器的整机效率。 (6)具有测量并显示低频功率放大器输出功率(正弦信号输入时)、直流电源的供给功率和整机效率的功能,测量精度优于5%。

2. 发挥部分 (1)低频功率放大器通频带扩展为10Hz~50kHz。 (2)在通频带内低频功率放大器失真度小于1%。 (3)在满足输出功率≥5W、通频带为20Hz~20kHz的前提下,尽可能降低输入信号幅度。 (4)设计一个带阻滤波器,阻带频率范围为40~60Hz。在50Hz频率点输出功率衰减≥6dB。 (5)其他。 摘要: 本系统采用了NE5534p作为前级的电压放大电路来给低通功率放大电路提供输入电压,通过低通功率放大电路将功率放大,由双踪示波器对整个系统的输入输出端进行监测,调节可变电阻,使输出波形无明显失真,从而使输出功率达到指定的输出功率要求。输入的频率范围为20Hz~20kHz。 一.概述: 本系统通过信号发生器输入电压为5mV,频率在20Hz~20kHz范围内的信号,对信号进行功率放大,低通功率放大器模块由+/-15V的直流电源提供,通过前级放大电路将输入电压放大,再由低通功率放大电路进行功率放大。在此期间,用示波器监测低通功率放大模块的输入输出端,观察波形是否失真,以及测量最大最小不失真频率。 二.系统工作原理及分析: 此系统由三部分组成,分别为电源模块、前级放大模块、低频功率放大模块。 如图所示:

集成电路设计实验报告

集成电路设计 实验报告 时间:2011年12月

实验一原理图设计 一、实验目的 1.学会使用Unix操作系统 2.学会使用CADENCE的SCHEMA TIC COMPOSOR软件 二:实验内容 使用schematic软件,设计出D触发器,设置好参数。 二、实验步骤 1、在桌面上点击Xstart图标 2、在User name:一栏中填入用户名,在Host:中填入IP地址,在Password:一栏中填入 用户密码,在protocol:中选择telnet类型 3、点击菜单上的Run!,即可进入该用户unix界面 4、系统中用户名为“test9”,密码为test123456 5、在命令行中(提示符后,如:test22>)键入以下命令 icfb&↙(回车键),其中& 表示后台工作,调出Cadence软件。 出现的主窗口所示: 6、建立库(library):窗口分Library和Technology File两部分。Library部分有Name和Directory 两项,分别输入要建立的Library的名称和路径。如果只建立进行SPICE模拟的线路图,Technology部分选择Don’t need a techfile选项。如果在库中要创立掩模版或其它的物理数据(即要建立除了schematic外的一些view),则须选择Compile a new techfile(建立新的techfile)或Attach to an existing techfile(使用原有的techfile)。 7、建立单元文件(cell):在Library Name中选择存放新文件的库,在Cell Name中输 入名称,然后在Tool选项中选择Composer-Schematic工具(进行SPICE模拟),在View Name中就会自动填上相应的View Name—schematic。当然在Tool工具中还有很多别的

集成电路实验报告报告—2008301200188王晓东

武汉大学教学实验报告 实验名称集成电路实验指导教师孙涛姓名王晓东年级08 学号2008301200188 成绩 一、预习部分 1.实验目的 2.实验基本原理 3.主要仪器设备(含必要的元器件、工具)

实验一:Shell命令与Solaris9桌面管理 一.实验目的 了解Sorlaris 平台发展历史,Unix 操作系统的主要三个部分。掌握Unix 的Shell 基本命令,公共桌面管理(Common Desk Environment)基本操作,Unix 的文件管理。 二.预备知识与实验原理 计算机基本知识,Unix 操作系统发展的历史、特点,基本UNIX Shell 文件管理命令(见本章第一节)。 三.实验设备与软件平台 Unix 服务器,工作站。 四.实验内容与要求 熟悉三种UnixShell,及基本文件管理命令行命令: 掌握UnixShell 的基本命令、使用、参数意义;并学会使用帮助; 熟悉Unix 文件管理系统; 基本掌握Sorlaris 公共桌面管理平台(CDE)。 五.实验步骤 1. 分别完成并熟练掌握如下实验内容(参阅第一节内容) Bourneshell($) Kornshell($) Cshell(%) ls 显示文件名 cd 目录转换 mkdir 创建目录 rmdir 删除目录 cp 文档复制 find 文件查找 vi 编辑器 geidt 编辑器 man 帮助 exit 系统退出 reboot 系统重启 pwd 显示当前路径 二、实验操作部分 1.实验操作过程(可用图表示) 2.结论

2. Sorlaris 操作系统的三个基本组成,熟悉命令行下的文件管理,子目录等。 3. CDE(公共桌面环境) (1)geidt 编辑文本文件 (2)在CDE 下运行可执行程序 (3)文件管理 思考题 1.简述UNIX 操作系统的三个组成部分。 答:UNIX 操作系统是基于文件的,其三个主要部分是Kernel(内核)、Shell、文件系统。Kernel是操作系统的核心,Shell是用户与kernel之间的接口。它就像是命令的解释器或翻译器。Solaris环境的文件结构是分层的目录树结构,类似于DOS的文件结构。2.简述UNIX 演化过程和特点。 答:最早的计算机都采用的是批处理的方式,耗费的时间和财力都比较大,为克服这一缺点,贝尔实验室研制了一种较为简单的操作系统即UNIX。随着许多商业机构和学术机构的加入,使UNIX得到了迅速的发展。直至今天拥有强大功能、性能良好的的UNIX 系统。 UNIX系统具有可移植性好、可靠性高、伸缩性强、开放性好、网络功能强、数据库支持强大、用户界面良好、文本处理工具强大而完美、开发环境良好、系统审计完善、系统安全机制强、系统备份功能完善、系统结构清晰、系统的专业性和可制定性强的特点。 3.何为UNIX shell?有那些常用shell 命令? 答:UNIX Shell 是Unix 内核与用户之间的接口,是Unix 的命令解释器。常用的shell 命令有Bourne Shell(sh)、Korn Shell(ksh)、C Shell(csh)、Bourne-again Shell (bash)。 实验二:Tcl脚本命令与编程——从1到100的累加 一. 实验目的 掌握Tcl 基本命令,脚本编程的语法,数据类型、控制结构命令,以及基本Tcl 脚本 编程。 二. 预备知识与实验原理 见本章第二节,Tcl/Tk 脚本基础。 三. 实验设备与软件平台 UNIX 服务器一台,工作站数台,Tcl 8.3.2。 四. 实验要求 (1)掌握Tcl 的基本语法、命令结构。 (2)编写脚本程序实现1 到100 的累加。 五. 实验步骤 阅读第二节内容并完成如下实验:

大功率功率放大器电路的设计

大功率功率放大器电路设计 大功率功率放大器电路设计 一. 设计理念及实现方式 (1)能推4Ω、2Ω等双低音的“大食”音箱以及专业类大粗音圈的各类专业箱。 (2)要省电、噪声小,发热量小。 (3)音质要好,能适合家居使用和专业使用。 第一点的实现就是要有大的推动功率。由于目前居室客厅面积有不断扩大的趋势,100W ×2以下功放已显得有些“力不从心”,所以本功放设计为4ΩQ 时360W ×2,2Ω时720W ×2。 第二点的实现就是电路工作在静态时的乙类小电流,靠大水塘级电容和电阻进行滤波降噪,使功放级噪声极小。而电路的工作状态又决定了电路元件的发热量很小,与一般乙类电路相当。配备的大型散热系统是为了应付连续大功率、低阻抗输出时的安全、可靠。 第三点的实现是本功放板的主要目标。目前公认的是:甲类、MOS、电子管音质好,所以本功放要达到甲类、MOS、电子管的音质。 二.大功率输出的实现 要实现大功率,首先是电源容量要大。本功放配置的电源是在截面积为35mm ×60mm的环形铁心上绕制的环牛。一次侧为1.0mm线绕484圈,二次侧为1.5mm双线并绕100圈。 整流为两只40A全桥做双桥整流,滤波为4只47000 uF电容 2只2.7kΩ电阻并接在正负电源上,使电压稳定在±62V。如电压过高可减小电阻到2.2kΩ,过低可加大电阻到3kΩ,功率用3W以上的。 除电源外,要实现大功率输出,特别是驱动“大食”音箱,要求功放输出电流能力要强,本功放每声道选用6对2SD1037管做准互补输出,可驱动直流电阻低达0.5Ω的“大食”音箱。所以4Ω时360W×2、2Ω时720W×2是有保障的。 三. 甲类、MOS、电子管音质的实现 目前人们公认的甲类、MOS、电子管的音质最好,所以本功放电路设计动态时工作于甲类的最佳状态,偏流随信号大小而同步增减,所以音质是有技术保障的。而在此工作状态下,即使更换几只一般的MOS管,对音质的提高也不明显。下面给出其原理图,如图1所示。从图1上可见到本原理图相当简洁,比一般乙类或甲乙类准互补电路还节省元件。而通过在电路板上改变一只电阻的接法就可方便地在本电路与准互补乙类或甲乙类之间变换。 四.绿色环保概念的实现 对本功放来说,实现低耗电、低噪声污染、低热辐射污染是通过以下措施实现的: (1)本功放空载时只有小电流级工作,而功率管基极电压只有0.45V,基本上是截止的,所以比一般乙类耗电少,属节电型功放。

数字IC设计工程师招聘面试笔试100题附答案

数字IC设计工程师招聘面试笔试100题附答案

数字IC设计工程师招聘面试笔试100题附答 案 1:什么是同步逻辑和异步逻辑?(汉王) 同步逻辑是时钟之间有固定的因果关系。异步逻辑是各时钟之间没有固定的因果关系。 同步时序逻辑电路的特点:各触发器的时钟端全部连接在一起,并接在系统时钟端,只有当时钟脉冲到来时,电路的状态才能改变。改变后的状态将一直保持到下一个时钟脉冲的到来,此时无论外部输入x 有无变化,状态表中的每个状态都是稳定的。 异步时序逻辑电路的特点:电路中除能够使用带时钟的触发器外,还能够使用不带时钟的触发器和延迟元件作为存储元件,电路中没有统一的时钟,电路状态的改变由外部输入的变化直接引起。 2:同步电路和异步电路的区别: 同步电路:存储电路中所有触发器的时钟输入端都接同一个时钟脉冲源,因而所有触发器的状态的变化都与所加的时钟脉冲信号同步。 异步电路:电路没有统一的时钟,有些触发器的时钟输入端与时钟脉冲源相连,只有这些触发器的状态变化与时钟脉冲同步,而其它的触发器的状态变化不与时钟脉冲同步。 3:时序设计的实质:

时序设计的实质就是满足每一个触发器的建立/保持时间的要求。 4:建立时间与保持时间的概念? 建立时间:触发器在时钟上升沿到来之前,其数据输入端的数据必须保持不变的最小时间。 保持时间:触发器在时钟上升沿到来之后,其数据输入端的数据必须保持不变的最小时间。 5:为什么触发器要满足建立时间和保持时间? 因为触发器内部数据的形成是需要一定的时间的,如果不满足建立和保持时间,触发器将进入亚稳态,进入亚稳态后触发器的输出将不稳定,在0和1之间变化,这时需要经过一个恢复时间,其输出才能稳定,但稳定后的值并不一定是你的输入值。这就是为什么要用两级触发器来同步异步输入信号。这样做能够防止由于异步输入信号对于本级时钟可能不满足建立保持时间而使本级触发器产生的亚稳态传播到后面逻辑中,导致亚稳态的传播。 (比较容易理解的方式)换个方式理解:需要建立时间是因为触发器的D端像一个锁存器在接受数据,为了稳定的设置前级门的状态需要一段稳定时间;需要保持时间是因为在时钟沿到来之后,触发器要经过反馈来锁存状态,从后级门传到前级门需要时间。

数字钟设计报告——数字电路实验报告

数字钟设计实验报告 专业:通信工程 姓名:王婧 班级:111041B 学号:111041226

数字钟的设计 目录 一、前言 (3) 二、设计目的 (3) 三、设计任务 (3) 四、设计方案 (3) 五、数字钟电路设计原理 (4) (一)设计步骤 (4) (二)数字钟的构成 (4) (三)数字钟的工作原理 (5) 六、总结 (9) 1

一、前言 此次实验是第一次做EDA实验,在学习使用软硬件的过程中,自然遇到很多不懂的问题,在老师的指导和同学们的相互帮助下,我终于解决了实验过程遇到的很多难题,成功的完成了实验,实验结果和预期的结果也是一致的,在这次实验中,我学会了如何使用Quartus II软件,如何分层设计点路,如何对实验程序进行编译和仿真和对程序进行硬件测试。明白了一定要学会看开发板资料以清楚如何给程序的输入输出信号配置管脚。这次实验为我今后对 EDA的进一步学习奠定了更好的理论基础和应用基础。 通过本次实验对数电知识有了更深入的了解,将其运用到了实际中来,明白了学习电子技术基础的意义,也达到了其培养的目的。也明白了一个道理:成功就是在不断摸索中前进实现的,遇到问题我们不能灰心、烦躁,甚至放弃,而要静下心来仔细思考,分部检查,找出最终的原因进行改正,这样才会有进步,才会一步步向自己的目标靠近,才会取得自己所要追求的成功。 2

二、设计目的 1.掌握数字钟的设计方法。 2熟悉集成电路的使用方法。 3通过实训学会数字系统的设计方法; 4通过实训学习元器件的选择及集成电路手册查询方法; 5通过实训掌握电子电路调试及故障排除方法; 6熟悉数字实验箱的使用方法。 三、设计任务 设计一个可以显示星期、时、分、秒的数字钟。 要求: 1、24小时为一个计数周期; 2、具有整点报时功能; 3、定时闹铃(未完成) 四、设计方案 一个基本的数字钟电路主要由译码显示器、“时”,“分”,“秒”计数器和定时器组成。干电路系统由秒信号发生 3

低噪声前置放大器电路的设计方法

低噪声前置放大器电路的设计方法 收藏此信息打印该信息添加:不详来源:未知 前置放大器在音频系统中的作用至关重要。本文首先讲解了在为家庭音响系统或PD A设计前置放大器时,工程师应如何恰当选取元件。随后,详尽分析了噪声的来源,为设计低噪声前置放大器提供了指导方针。最后,以PDA麦克风的前置放大器为例,列举了设计步骤及相关注意事项。 前置放大器是指置于信源与放大器级之间的电路或电子设备,例如置于光盘播放机与高级音响系统功率放大器之间的音频前置放大器。前置放大器是专为接收来自信源的微弱电压信号而设计的,已接收的信号先以较小的增益放大,有时甚至在传送到功率放大器级之前便先行加以调节或修正,如音频前置放大器可先将信号加以均衡及进行音调控制。无论为家庭音响系统还是PDA设计前置放大器,都要面对一个十分头疼的问题,即究竟应该采用哪些元件才恰当? 元件选择原则 由于运算放大器集成电路体积小巧、性能卓越,因此目前许多前置放大器都采用这类运算放大器芯片。我们为音响系统设计前置放大器电路时,必须清楚知道如何为运算放大器选定适当的技术规格。在设计过程中,系统设计工程师经常会面临以下问题。 是否有必要采用高精度的运算放大器? 输入信号电平振幅可能会超过运算放大器的错误容限,这并非运算放大器所能接受。若输入信号或共模电压太微弱,设计师应该采用补偿电压(Vos)极低而共模抑制比(CMRR)极高的高精度运算放大器。是否采用高精度运算放大器取决于系统设计需要达到多少倍的放大增益,增益越大,便越需要采用较高准确度的运算放大器。 运算放大器需要什么样的供电电压?

这个问题要看输入信号的动态电压范围、系统整体供电电压大小以及输出要求才可决定,但不同电源的不同电源抑制比(PSRR)会影响运算放大器的准确性,其中以采用电池供电的系统所受影响最大。此外,功耗大小也与内部电路的静态电流及供电电压有直接的关系。 输出电压是否需要满摆幅? 低供电电压设计通常都需要满摆幅的输出,以便充分利用整个动态电压范围,以扩大输出信号摆幅。至于满摆幅输入的问题,运算放大器电路的配置会有自己的解决办法。由于前置放大器一般都采用反相或非反相放大器配置,因此输入无需满摆幅,原因是共模电压(Vcm)永远小于输出范围或等于零(只有极少例外,例如设有浮动接地的单供电电压运算放大器)。增益带宽的问题是否更令人忧虑? 是的,尤其是对于音频前置放大器来说,这是一个非常令人忧虑的问题。由于人类听觉只能察觉大约由20Hz至20kHz频率范围的声音,因此部分工程师设计音频系统时会忽略或轻视这个“范围较窄”的带宽。事实上,体现音频器件性能的重要技术参数如低总谐波失真(TH D)、快速转换率(slew rate)以及低噪声等都是高增益带宽放大器所必须具备的条件。 图1,建议选用的放大器 深入了解噪声 在设计低噪声前置放大器之前,工程师必须仔细审视源自放大器的噪声,一般来说,运算放大器的噪声主要来自四个方面: 热噪声(Johnson):由于电导体内电流的电子能量不规则波动产生的具有宽带特性的热噪声,其电压均方根值的正方与带宽、电导体电阻及绝对温度有直接的关系。对于电阻及晶体

(完整版)数字IC设计工程师笔试面试经典100题(大部分有答案)

1:什么是同步逻辑和异步逻辑?(汉王) 同步逻辑是时钟之间有固定的因果关系。异步逻辑是各时钟之间没有固定的因果关系。 同步时序逻辑电路的特点:各触发器的时钟端全部连接在一起,并接在系统时钟端,只有当时钟脉冲到来时,电路的状态才能改变。改变后的状态将一直保持到下一个时钟脉冲的到来,此时无论外部输入x 有无变化,状态表中的每个状态都是稳定的。 异步时序逻辑电路的特点:电路中除可以使用带时钟的触发器外,还可以使用不带时钟的触发器和延迟元件作为存储元件,电路中没有统一的时钟,电路状态的改变由外部输入的变化直接引起。 2:同步电路和异步电路的区别: 同步电路:存储电路中所有触发器的时钟输入端都接同一个时钟脉冲源,因而所有触发器的状态的变化都与所加的时钟脉冲信号同步。 异步电路:电路没有统一的时钟,有些触发器的时钟输入端与时钟脉冲源相连,只有这些触发器的状态变化与时钟脉冲同步,而其他的触发器的状态变化不与时钟脉冲同步。 3:时序设计的实质: 时序设计的实质就是满足每一个触发器的建立/保持时间的要求。 4:建立时间与保持时间的概念? 建立时间:触发器在时钟上升沿到来之前,其数据输入端的数据必须保持不变的最小时间。保持时间:触发器在时钟上升沿到来之后,其数据输入端的数据必须保持不变的最小时间。 5:为什么触发器要满足建立时间和保持时间? 因为触发器内部数据的形成是需要一定的时间的,如果不满足建立和保持时间,触发器将进入亚稳态,进入亚稳态后触发器的输出将不稳定,在0和1之间变化,这时需要经过一个恢复时间,其输出才能稳定,但稳定后的值并不一定是你的输入值。这就是为什么要用两级触发器来同步异步输入信号。这样做可以防止由于异步输入信号对于本级时钟可能不满足建立保持时间而使本级触发器产生的亚稳态传播到后面逻辑中,导致亚稳态的传播。 (比较容易理解的方式)换个方式理解:需要建立时间是因为触发器的D端像一个锁存器在接受数据,为了稳定的设置前级门的状态需要一段稳定时间;需要保持时间是因为在时钟沿到来之后,触发器要通过反馈来锁存状态,从后级门传到前级门需要时间。 6:什么是亚稳态?为什么两级触发器可以防止亚稳态传播? 这也是一个异步电路同步化的问题。亚稳态是指触发器无法在某个规定的时间段内到达一个可以确认的状态。使用两级触发器来使异步电路同步化的电路其实叫做“一位同步器”,他只能用来对一位异步信号进行同步。两级触发器可防止亚稳态传播的原理:假设第一级触发器的输入不满足其建立保持时间,它在第一个脉冲沿到来后输出的数据就为亚稳态,那么在下一个脉冲沿到来之前,其输出的亚稳态数据在一段恢复时间后必须稳定下来,而且稳定的数据必须满足第二级触发器的建立时间,如果都满足了,在下一个脉冲沿到来时,第二级触发器将不会出现亚稳态,因为其输入端的数据满足其建立保持时间。同步器有效的条件:第一级触发器进入亚稳态后的恢复时间+ 第二级触发器的建立时间< = 时钟周期。

北京邮电大学数字电路实验报告

北京邮电大学 数字电路与逻辑设计实验 实验报告 实验名称:QuartusII原理图输入 法设计与实现 学院:北京邮电大学 班级: 姓名: 学号:

一.实验名称和实验任务要求 实验名称:QuartusII原理图输入法设计与实现 实验目的:⑴熟悉用QuartusII原理图输入法进行电路设计和仿真。 ⑵掌握QuartusII图形模块单元的生成与调用; ⑶熟悉实验板的使用。 实验任务要求:⑴掌握QuartusII的基础上,利用QuartusII用逻辑 门设计实现一个半加器,生成新的半加器图像模 块。 ⑵用实验内容(1)中生成的半加器模块以及逻辑门 实现一个全加器,仿真验证其功能,并能下载到实 验板上进行测试,要求用拨码开关设定输入信号, 发光二级管显示输出信号。 ⑶用3线—8线译码器(74L138)和逻辑门实现要求 的函数:CBA F+ C + =,仿真验证其 + B C B A A A B C 功能,,并能下载到实验板上进行测试,要求用拨 码开关设定输入信号,发光二级管显示输出信号。二.设计思路和过程 半加器的设计实现过程:⑴半加器的应有两个输入值,两个输出值。 a表示加数,b表示被加数,s表示半加和, co表示向高位的进位。

⑵由数字电路与逻辑设计理论知识可知 b a s ⊕=;b a co ?= 选择两个逻辑门:异或门和与门。a,b 为异 或门和与门的输入,S 为异或门的输出,C 为与门的输出。 (3)利用QuartusII 仿真实现其逻辑功能, 并生成新的半加器图形模块单元。 (4)下载到电路板,并检验是否正确。 全加器的设计实现过程:⑴全加器可以由两个半加器和一个或门构 成。全加器有三个输入值a,b,ci ,两个输 出值s,co :a 为被加数,b 为加数,ci 为低 位向高位的进位。 ⑵全加器的逻辑表达式为: c b a s ⊕⊕= b a ci b a co ?+?⊕=)( ⑶利用全加器的逻辑表达式和半加器的逻 辑功能,实现全加器。 用3线—8线译码器(74L138)和逻辑门设计实现函数 CBA A B C A B C A B C F +++= 设计实现过程:⑴利用QuartusII 选择译码器(74L138)的图形模块

电路设计实验报告

电子技术课程设计 题目: 班级: 姓名: 合作者:

数字电子钟计时系统 一、设计要求 用中、小规模集成电路设计一台能显示时、分、秒的数字电子钟,基本要求如下: 1、采用LED显示累计时间“时”、“分”、“秒”。 2、具有校时功能。 二、设计方案 数字电子钟主要由振荡器、分频器、计数器、译码器、显示器等几部分组成,其整体框图为 其中,秒信号发生器为:

由石英晶体发出32768Hz的振荡信号经过分频器,即CD4060——14级串行二进制计数器/分频器和振荡器,输出2Hz 的振荡信号传入D触发器,经过2分频变为秒信号输出。 校时电路为: 当K1开启时,与非门一端为秒信号另一端为高电位,输出即为秒信号秒计数器正常工作,当K1闭合,秒信号输出总为0,实现秒暂停。 当K2/K3开启时,分信号/时信号输入由秒计数器输出信号及高电平决定,所以输出信号即为分信号/时信号,当K2/K3闭合时,秒信号决定分信号/时信号输出,分信号/时信号输出与秒信号频率一致, 以实现分信号/时信号的加速校时。 秒、分计数器——60进制

首先,调节CD4029的使能端,使其为十进制加法计数器。将输入信号脉冲输入第一个 计数器(个位计数器)计十个数之后将,进位输出输给下一个计数器(十位计数器)的进位 输入实现十秒计数。当计数器的Q1,Q2输出均为1时经过与门电路,输出高电平,作为分 脉冲或时脉冲并同时使两计数器置零。 时计数器——24进制 时脉冲 首先,调节CD4029的使能端,使其为十进制加法计数器。将输入信号脉冲输入第一个 计数器(个位计数器)计十个数之后将,进位输出输给下一个计数器(十位计数器)的进位 输入实现十秒计数。当十位计数器Q1和个位计数器Q2输出均为1时经过与门电路,输出 高电平使两计数器置零。 译码显示电路

仪用放大器的应用电路设计

课程名称:电路与电子技术实验Ⅱ指导老师:成绩:__________________ 实验名称:仪用放大器的应用电路设计类型:___________________同组学生姓名:__________ 一、实验目的和要求(必填)二、实验内容和原理(必填) 三、主要仪器设备(必填)四、操作方法和实验步骤 五、实验数据记录和处理六、实验结果与分析(必填) 七、讨论、心得 一、实验目的和要求 1.学习并了解仪用放大器与运算放大器的性能区别。 2.掌握仪用放大器的电路结构及设计方法。 3.掌握仪用放大器的测试方法。 4.学习仪用放大器在电子设计中的应用。 二、实验内容和原理 1. 仪用放大器 仪用放大器是一种精密差动电压放大电路。 在实际的生产生活中,实际的信号获取单元经常需要面对强噪声背景下的微弱信号,这些强噪声将以共模的形式进入测量单元。虽然运放具有共模抑制比,但信号电压和共模电压一起被传送到输出端,将降低放大器的有效输出范围。 2.基本差动放大器与带输入缓冲的差动放大器 基本差动放大器:带输入缓冲的差动放大器: 3.标准的三运放构成的仪用放大器 造成差动放大器误差的两个主要因素为:运算放大器的参数和电阻器匹配的精确度。 若在输入运算放大器周围增加匹配电阻,把增益设臵放在前端实现,就构成了仪用放大器。 仪用放大器的传输函数为:

运放A1、A2 为同相差分输入方式。同相输入可以大幅度提高电路的输入阻抗,减小电路对微弱输入信号的衰减;差分输入可以使电路只对差模信号放大,而对共模输入信号只起跟随作用,来提高共模抑制比。 4.单片仪用放大器 5.双孔梁应变式传感器 力传感器单元是这个实验的传感器,为信号输入部分。它内部含有由4个全桥电路。

数字ic设计实验报告

数字集成电路设计 实验报告 实验名称二输入与非门的设计 一.实验目的 a)学习掌握版图设计过程中所需要的仿真软件

b)初步熟悉使用Linux系统 二.实验设备与软件 PC机,RedHat,Candence 三.实验过程 Ⅰ电路原理图设计 1.打开虚拟机VMware Workstation,进入Linux操作系统RedHat。 2.数据准备,将相应的数据文件拷贝至工作环境下,准备开始实验。 3.创建设计库,在设计库里建立一个schematic view,命名为,然后进入电路 图的编辑界面。 4.电路设计 设计一个二输入与非门,插入元器件,选择PDK库(xxxx35dg_XxXx)中的nmos_3p3、 pmos_3p3等器件。形成如下电路图,然后check and save,如下图。 图1.二输入与非门的电路图 5.制作二输入与非门的外观symbol Design->Create Cellview -> From Cellview,在弹出的界面,按ok后出现symbol Generation options,选择端口排放顺序和外观,然后按ok出现symbol编辑界面。按照需 要编辑成想要的符号外观,如下图。保存退出。

图2.与非门外观 6.建立仿真电路图 方法和前面的“建立schemtic view”的方法一样,但在调用单元时除了调用analogL 库中的电压源、(正弦)信号源等之外,将之前完成的二输入与非门调用到电路图中,如下图。 图3.仿真电路图 然后设置激励源电压输出信号为高电平为3.5v,低电平为0的方波信号。 7.启动仿真环境 在ADE中设置仿真器、仿真数据存放路径和工艺库,设置好后选择好要检测的信号在电路中的节点,添加到输出栏中,运行仿真得到仿真结果图。

数字秒表的设计与实现实验报告

电子科技大学《数字秒表课程设计》 姓名: xxx 学号: 学院: 指导老师:xx

摘要 EDA技术作为电子工程领域的一门新技术,极大的提高了电子系统设计的效率和可靠性。文中介绍了一种基于FPGA在ISE10.1软件下利用VHDL语言结合硬件电路来实现数字秒表的功能的设计方法。采用VHDL硬件描述语言,运用ModelSim等EDA仿真工具。该设计具有外围电路少、集成度高、可靠性强等优点。通过数码管驱动电路动态显示计时结果。给出部分模块的VHDL源程序和仿真结果,仿真结果表明该设计方案的正确,展示了VHDL语言的强大功能和优秀特性。 关键词:FPGA, VHDL, EDA, 数字秒表

目录 第一章引言 (4) 第二章设计背景 (5) 2.1 方案设计 (5) 2.2 系统总体框图 (5) 2.3 -FPGA实验板 (5) 2.4 系统功能要求 (6) 2.5 开发软件 (6) 2.5.1 ISE10.1简介 (6) 2.5.2 ModelSim简介 (6) 2.6 VHDL语言简介 (7) 第三章模块设计 (8) 3.1 分频器 (8) 3.2 计数器 (8) 3.3 数据锁存器 (9) 3.4 控制器 (9) 3.5 扫描控制电路 (10) 3.6 按键消抖电路 (11) 第四章总体设计 (12) 第五章结论 (13) 附录 (14)

第一章引言 数字集成电路作为当今信息时代的基石,不仅在信息处理、工业控制等生产领域得到普及应用,并且在人们的日常生活中也是随处可见,极大的改变了人们的生活方式。面对如此巨大的市场,要求数字集成电路的设计周期尽可能短、实验成本尽可能低,最好能在实验室直接验证设计的准确性和可行性,因而出现了现场可编程逻辑门阵列FPGA。对于芯片设计而言,FPGA的易用性不仅使得设计更加简单、快捷,并且节省了反复流片验证的巨额成本。对于某些小批量应用的场合,甚至可以直接利用FPGA实现,无需再去订制专门的数字芯片。文中着重介绍了一种基于FPGA利用VHDL硬件描述语言的数字秒表设计方法,在设计过程中使用基于VHDL的EDA工具ModelSim对各个模块仿真验证,并给出了完整的源程序和仿真结果。

cmos模拟集成电路设计实验报告

北京邮电大学 实验报告 实验题目:cmos模拟集成电路实验 姓名:何明枢 班级:2013211207 班内序号:19 学号:2013211007 指导老师:韩可 日期:2016 年 1 月16 日星期六

目录 实验一:共源级放大器性能分析 (1) 一、实验目的 (1) 二、实验内容 (1) 三、实验结果 (1) 四、实验结果分析 (3) 实验二:差分放大器设计 (4) 一、实验目的 (4) 二、实验要求 (4) 三、实验原理 (4) 四、实验结果 (5) 五、思考题 (6) 实验三:电流源负载差分放大器设计 (7) 一、实验目的 (7) 二、实验内容 (7) 三、差分放大器的设计方法 (7) 四、实验原理 (7) 五、实验结果 (9) 六、实验分析 (10) 实验五:共源共栅电流镜设计 (11) 一、实验目的 (11) 二、实验题目及要求 (11) 三、实验内容 (11) 四、实验原理 (11) 五、实验结果 (14) 六、电路工作状态分析 (15) 实验六:两级运算放大器设计 (17) 一、实验目的 (17) 二、实验要求 (17) 三、实验内容 (17) 四、实验原理 (21) 五、实验结果 (23) 六、思考题 (24) 七、实验结果分析 (24) 实验总结与体会 (26) 一、实验中遇到的的问题 (26) 二、实验体会 (26) 三、对课程的一些建议 (27)

实验一:共源级放大器性能分析 一、实验目的 1、掌握synopsys软件启动和电路原理图(schematic)设计输入方法; 2、掌握使用synopsys电路仿真软件custom designer对原理图进行电路特性仿真; 3、输入共源级放大器电路并对其进行DC、AC分析,绘制曲线; 4、深入理解共源级放大器的工作原理以及mos管参数的改变对放大器性能的影响 二、实验内容 1、启动synopsys,建立库及Cellview文件。 2、输入共源级放大器电路图。 3、设置仿真环境。 4、仿真并查看仿真结果,绘制曲线。 三、实验结果 1、实验电路图

集成电路设计实验2

集成电路设计实验报告 院别:电信学院专业:电子科学与技术 班级:电子姓名:学号:组序: 实验(二)题目名称:CMOS反相器的版图设计(PMOS、NMOS) 成绩:教师签名:批改时间: 一、实验目的: 在集成电路设计当中,集成电路设计软件的介入大大的缩短了开发周期,减小了设计风险,使得我们在设计的时候可以发现并改正电路设计上的绝大多数bug。所以说学习设计软件已经成为集成电路设计工程师的必修课。而Ledit软件以其良好的人机操作界面,以及强大的设计规则检查能力而在集成电路的设计当中充当了很重要的角色。在此次试验当中我们需要独立完成CMOS反相器的版图设计,规则检查,以及排除错误工作。从而达到比较熟练的掌握Ledit 的基本功能已经操作方法。 二、实验要求: 如将设计好的电路制成实际使用的集成块,就必须利用版图工具将设计的电路采用标准工艺文件转换成可以制造的版图。然后再将版图提交给集成电路制造厂家(foundry),完成最后的集成块制造,所以画版图的本质就是画电路原理图。 在画版图时,首先要明白工艺文件的含义,每一种工艺文件代表一条工艺线所采用的光刻尺寸,以及前后各个工序等等;其次要懂得所使用的工具步骤及各个菜单及菜单栏的内容,以便熟练使用该软件;最后对所画版图进行验证,确保不发生错误。 此外,还必须了解所使用的版图设计法则,对于不同的工艺尺寸其法则有所不同,这就要求设计者在应用该软件时,必须熟悉相应的设计法则,为完成正确的版图做准备。该实验原理是画常见的CMOS反相器,画版图时要求熟悉CMOS反相器的工艺过程及设计法则。

三、实验方法: 首先在实验一的基础上进一步熟悉L-EDIT版图设计软件的工具及工艺库,比较熟练地掌握该软件画版图的方法。以CMOS反相器为例,在前面画的PMOS、NMOS 的基础上,通过调用将他们组合到一起,再完成整个CMOS反相器的设计,设计完成后运用该软件的设计规则对所画的版图进行DRC验证,并修改不正确的部分,直至设计无错误。 四、实验内容: 1.运行L-Edit程序时,L-Edit会自动将工作文件命名为Layout1.tdb并显示在窗口的标题栏上。 2.另存为新文件:选择执行File/Save As子命令,将自己的工程文件保存在C:\DocumentsandSettings\Administrator\桌面\实验相关\Tanner\Ledit90\Samples\SPR\exam ple1中,在“文件名”文本框中输入新文件名称:NOMS。保存到example目录的原因是防止后面做剖视图的时候没法进行。 3.替换设置信息:选择执行File/Replace Setup子命令打开对话框,单击“From File”栏填充框的右侧的Browser按钮,选择C:\Documents and Settings\Administrator\桌面\实验相关\Tanner\Ledit90\Samples\SPR\example1\lights.tdb文件,如图所示,单击OK就将lights.tdb文件中的格点、图层、以及设计规则等设定应用在当前工程中。 4.画出PMOS:按照实验一的步骤,设计PMOS的版图。并进行相应的规则检查,直到没有错误。画好后如图所示:

实用功放电路设计

题目五:实用低频功率放大器 一、设计任务与要求: (一)、任务: 设计并制作具有弱信号放大能力的低频功率放大器。 其原理示意图如下: (二)、要求: 1.在放大通道在正弦信号输入电压幅度为(5-700)mV,等效负值载电阻R1。:812下,放大通道应满足: a、额定输出功率P oK≥10W; b、带宽BW≥(50-1000)HZ; c、在P oK下和BW内的非线性失真系数≤3%; d、在P oK下的效率≥55%; e、在前置放大级输人端交流短路接地时,R L=8Ω上的交流声功率≤10mV。 2。自行设计并制作满足设计要求的稳压电源。 (三)、发挥部分(选作部分): 1. 测放大器的时间响应: a、方波发生器:由外供正弦信号源经变换电路产生正、负极性的对称方波。频率为1000HZ;上升和下降时间1≤uS;峰一峰值电压为200mV b、用上述方波激励放大通道时,在R8下,放大通道应满足 (1)、额定验出功率P ok≥10W; (2)、P oK下,输出波形上升或下降时间12≤uS; (3)、在P oK下,输出波形顶部斜降≤2% (4)、在P oK下,输出波形过冲电压≤5% (四)、设计电路、画布线图、编写调试步骤以及调试方法:根据任务要求,设计该低频功率 放大电路及电源电路,要求有电路、有参数及设计过程,画出布线图,并在面包板上插接、调试。 (五) 答辨: 答辨前必须完成下列资料 1.设计说明书:方案选择、设计过程、原理图、布线图及说明; 2.总结调试方法、测试技术指标: 整理原始记录数据 故障处理、(出现何现象、原因及解决办法)。 (六)、参考元器件型号: STK465 集成功率放大电路 uA741 0P-27/0P-37 电阻、电容、电位器、稳压块等。

数字ic设计经验分享

摘要:随着数字电路设计的规模以及复杂程度的提高,对其进行设计所花费的时间和费用也随之而提高。根据近年来的统计,对数字系统进行设计所花的时间占到了整个研发过程的60%以上。所以减少设计所花费的实践成本是当前数字电路设计研发的关键,这就必须在设计的方法上有所突破。 关键词:数字系统;IC;设计 一、数字IC设计方法学 在目前CI设计中,基于时序驱动的数字CI设计方法、基于正复用的数字CI设计方法、基于集成平台进行系统级数字CI设计方法是当今数字CI设计比较流行的3种主要设计方法,其中基于正复用的数字CI设计方法是有效提高CI设计的关键技术。它能解决当今芯片设计业所面临的一系列挑战:缩短设计周期,提供性能更好、速度更快、成本更加低廉的数字IC芯片。 基于时序驱动的设计方法,无论是HDL描述还是原理图设计,特征都在于以时序优化为目标的着眼于门级电路结构设计,用全新的电路来实现系统功能;这种方法主要适用于完成小规模ASIC的设计。对于规模较大的系统级电路,即使团队合作,要想始终从门级结构去实现优化设计,也很难保证设计周期短、上市时间快的要求。 基于PI复用的数字CI设计方法,可以满足芯片规模要求越来越大,设计周期要求越来越短的要求,其特征是CI设计中的正功能模块的复用和组合。采用这种方法设计数字CI,数字CI包含了各种正模块的复用,数字CI的开发可分为模块开发和系统集成配合完成。对正复用技术关注的焦点是,如何进行系统功能的结构划分,如何定义片上总线进行模块互连,应该选择那些功能模块,在定义各个功能模块时如何考虑尽可能多地利用现有正资源而不是重新开发,在功能模块设计时考虑怎样定义才能有利于以后的正复用,如何进行系统验证等。 基于PI复用的数字CI的设计方法,其主要特征是模块的功能组装,其技术关键在于如下三个方面:一是开发可复用的正软核、硬核;二是怎样做好IP复用,进行功能组装,以满足目标CI的需要;三是怎样验证完成功能组装的数字CI是否满足规格定义的功能和时序。 二、典型的数字IC开发流程 典型的数字CI开发流程主要步骤包含如下24方面的内容: (1)确定IC规格并做好总体方案设计。 (2)RTL代码编写及准备etshtnehc代码。 (3)对于包含存储单元的设计,在RTL代码编写中插入BIST(内建自我测试)电路。 (4)功能仿真以验证设计的功能正确。 (5)完成设计综合,生成门级网表。 (6)完成DFT(可测试设计)设计。 (7)在综合工具下完成模块级的静态时序分析及处理。 (8)形式验证。对比综合网表实现的功能与TRL级描述是否一致。 (9)对整个设计进行Pre一layout静态时序分析。 (10)把综合时的时间约束传递给版图工具。 (11)采样时序驱动的策略进行初始化nooprlna。内容包括单元分布,生成时钟树 (12)把时钟树送给综合工具并插入到初始综合网表。 (13)形式验证。对比插入时钟树综合网表实现的功能与初始综合网表是否一致。 (14)在步骤(11)准布线后提取估计的延迟信息。 (15)把步骤(14)提取出来的延迟信息反标给综合工具和静态时序分析工具。 (16)静态时序分析。利用准布线后提取出来的估计延时信息。

数字系统设计软件实验报告

实验一QuartusⅡ9.1软件的使用 一、实验目的: 1、通过实现书上的例子,掌握QUARTUSII9.1软件的使用; 2、编程实现3-8译码电路以掌握VHDL组合逻辑的设计以及QUARTUSII9.1软件的使用。 二、实验流程: 1、仔细阅读书上的操作指南,学会在QuartusⅡ9.1中创建新的工程,创建过程如下所示: 1)、建立新设计项目: ①启动QuartusⅡ9.1软件,在软件的管理器窗口选File下拉菜单,即File→New Project Wizard,则出现新建工程向导窗口。如下所示: ②点击Next按钮,将弹出新建工程设置窗口,如下图所示。在新建工程设置窗口中设置好工程的存放路径、工程名称等。

③点击Next进入添加文件窗口,如下图。由于尚未创建文件,跳过该步骤。 ④点击Next按钮,进入选择目标芯片窗口。在这里我们选择Cyclone系列的EP1C6Q240C8,如下图:

⑤点击Next按钮,进入EDA工具设置窗口,通常选择默认的“None”,表示选择QuartusⅡ自带的仿真器和综合器。如下图: ⑥点击Next按钮,弹出New Project Wizard概要对话框,在这个窗口中列出了所有前面设置的结果。若有错误则点击Back回去修改,否则点击Finish结束,即完成新工程的设定工作。如下图:

2)、文本设计输入: ①在QuartusⅡ主界面菜单栏中选择File下拉菜单中的New,弹出新建设计文件窗口,选择VHDL File项,点击OK按钮即可打开VHDL文本编辑窗口,其默认文件名为“Vhdl.vhd”。 ②出现文本编辑窗口后,我们可以直接在空白界面中键入所设计的VHDL文本。这时我们将书本中的程序输入到文本编辑环境中去。程序如下: library IEEE; use IEEE.std_logic_1164.all; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity count10 is port(clk,load,en:in std_logic; data_in:in std_logic_vector(3 downto 0); seg:out std_logic_vector(6 downto 0)); end count10; architecture beha of count10 is signal qout:std_logic_vector(3 downto 0); signal q_temp:std_logic_vector(3 downto 0); begin process(clk,load) begin

相关文档
最新文档