数字秒表的设计与实现实验报告课件
数字式秒表设计
一、内容摘要本设计所实现的数字式秒表是电子设计技术中最基本的设计实验之一。
该数字计数系统的逻辑结构较简单,是由微动开关、抖动消除电路、三状态控制电路、微分及整形清零电路、上电复位电路、0·1秒脉冲发生器、闸门计数控制电路、译码及显示电路组成的电子秒表,其中核心的部分为0·1秒脉冲发生器、计数、译码及显示电路部分,而其它部分是为使电子秒表在0.1~9·9秒范围内测定时间附加上的一些外围控制电路。
本设计报告由内容摘要、设计任务指标、系统方案论证、元件清单、单元电路设计、电路图及电路工作原理、组装调试、设计成果的评价、课程设计心得体会和参考文献十大部分组成,力求将整个系统的设计过程、原理、以及心得体会完整的呈现出来。
二、设计任务及指标1通过本课程设计计算、安装调试、资料整理、撰写报告等环节,初步掌握电子设计方法以及完成数字秒表的电路设计。
2利用基本RS触发器、脉冲发生器及计数、译码、显示等单元电路设计数字秒表。
3由2位数码管显示计数时间,显示分辨率为0.1s,计时误差小于5%。
4在实验装置上或者利用仿真软件完成数字秒表的线路连接和调试,实现上电自动清零、启动计时显示、暂停计时显示以及重新计时等控制功能。
三、系统设计方案论证1数字式秒表,首先需要一个数字显示。
按设计要求,须用数码管来做显示器。
题目要求最大记数值为9.9秒,则需要一个8段数码管作为秒位(有小数点)和一个7段数码管作为分秒位。
要求计数分辨率为0. 1秒,那么我们需要相应频率的信号发生器。
选择信号发生器时,有两种方案:一种是用晶体震荡器,另一种方案是采用集成电路555定时器与电阻和电容组成的多谐振荡器。
秒表核心部分——计数器,使用两个74LS390计数器构成,这种连接方式简单,使用元器件数量少。
计数脉冲是由555定时器构成的多谐振荡器,产生10赫兹脉冲,如果精度要求高,也可采用石英振荡器。
在选择译码器的时候,有多种选择,如74LS46,74LS47,74LS48等4-7线译码器。
数字秒表实验报告
EDA课程设计题目:基于VHDL的数字秒表设计学生姓名学号学院电子信息学院专业 10通信工程指导教师二零一二年十二月基于VHDL的数字秒表设计摘要当前电子系统的设计正朝着速度快,容量大,体积小,质量轻,省电的方向发展。
推动该潮流迅速发展的决定性因素就是使用了现代化的EDA设计工具。
此次课程设计先确定了系统的逻辑功能,选择电路结构,然后确定并设计电路所需的数据处理以及控制模块,在Quartus II上以超高速硬件描述语言VHDL为系统逻辑描述方法完成了数字秒表所需的分频模块,十进制计数控制模块,六进制计数控制模块与顶层设计和引脚分配,对其进行编译仿真,并下载到实验板上实际验证,通过本设计锻炼了计算机应用能力、VHDL语言的编程能力和Quartus II 的使用能力,此次设计圆满完成了用VHDL语言设计1/1000秒数字秒表并仿真和实际下载到ALTERA公司的ACEX1K系列的EP1K30TC144-3中实现。
关键词:EDA、Quartus II、VHDL、模块、仿真、ACEX1KAbstractThe electronic system design is moving speed, large capacity, small volume, light weight, energy saving direction. The trend of rapid development of determinant is the use of modern EDA design tools. This course is designed to determine the logic function of the system, establish the algorithm process, selection of circuit structure and circuit design, and then determine the desired data processing and control module, in the Quartus II to very high speed hardware description language VHDL as the system logical description method for completing the digital stopwatch desired frequency module, decimal counting control module, base six counting control module with top design and pin assignment, the compiled simulation, and downloaded to the experiments on actual test and verify, through the design of exercise ability of computer application and VHDL programming language and Quartus II using capability, the design was completed by VHDL language design 1\/1000 seconds stopwatch and simulation and the actual download to ALTERA company's ACEX1K series EP1K30TC144-3 implementation.Key Words:EDA、Quartus II、VHDL、Module、Simulation、ACEX1K目录摘要----------------------------------------------------------------2 Abstract------------------------------------------------------------2一、设计要求--------------------------------------------------------4二、设计思想与方案论证----------------------------------------------42.1 设计思想----------------------------------------------------42.2 方案论证----------------------------------------------------4三、系统设计--------------------------------------------------------53.1 顶层电路设计------------------------------------------------53.2时钟分频电路模块---------------------------------------------63.3十进制计数控制模块-------------------------------------------73.4六进制计数控制模块-------------------------------------------7四、系统仿真--------------------------------------------------------84.1 模块仿真----------------------------------------------------84.1.1 时钟分频电路模块仿真 ----------------------------------84.1.2 十进制计数控制模块仿真---------------------------------94.1.3 六进制计数控制模块仿真---------------------------------94.2 总体仿真---------------------------------------------------10五、下载实现--------------------------------------------------------105.1 引脚分配---------------------------------------------------115.2 下载验证---------------------------------------------------11六、问题与不足-----------------------------------------------------13七、心得体会-------------------------------------------------------13参考文献-----------------------------------------------------------14附录---------------------------------------------------------------14附录1 :本设计各模块代码-------------------------------------------14一、设计要求设计用于体育比赛用的数字秒表,要求1、计时精度大于1/1000秒,计时器能显示1/1000秒的时间,提供给计时器内部定时的时钟频率为12MHz;计时器的最长计时时间为1小时,为此需要一个7位的显示器,显示的最长时间为59分59.999秒2、设计有复位和起/停开关(1) 复位开关用来使计时器清零,并做好计时准备。
《秒表的设计与制作》课件
可以增加计次、计圈、计时区间、定时等功能,根据硬件和软件设计要点增加相应的功 能和控制。
3 如何避免秒表过度耗电?
避免过度亮屏、采用Sleep模式等方法可以避免秒表过度耗电,延长电池使用寿命。
《秒表的设计与制作》 PPT课件
本课件将详细讲解秒表的设计和制作,包括硬件和软件设计,以及元器件选 择和电路调试。欢迎一同探索。
秒表简介
作用
秒表是用于精确计时的仪器,可秒表可测量任 意时间并精确到0.01秒。
常见应用
秒表广泛应用在计时赛事、运动训练、科学实 验等领域。
计时器的原理
机械计时器原理
机械计时器一般由钢铁齿轮和弹簧组成,通过弹簧 的释放,带动齿轮转动来计时。
硬件设计
1
电路图
电路图是秒表硬件设计的基础,需要设
元器件选择
2
计每个元器件在电路中的连接方式。
元器件的选择需要考虑准确性、兼容性
和易用性,比如需要选择高精度的时钟
芯片和易于调试的显示器件。
3
软件设计
通过编程来控制秒表的显示和计时方式,
必须考虑精度和易用性。
代码实现
4
根据设计要点和硬件设计,通过编程来 实现秒表的功能,需要仔细调试确保准
电子计时器原理
电子计时器一般由时钟芯片和数码显示器组成,时 钟芯片内部通过晶体振荡来计时并显示在数码显示 器上。
秒表设计要点
1 准确性
准确性是秒表最重要的设计要点,需要选择高精度元器件和优化电路设计。
2 兼容性
秒表需要与其他设备兼容,比如可通过USB连接外部计算机,方便数据处理。
3 易用性
秒表的操作简单易用,按钮需要大而明显,方便用户掌握。
数字式秒表实验报告
数字式秒表 摘 要如今,信息正是一个高度发展的产业,而数字技术是信息的基础,数字技术是目前发展最快的技术领域之一,数字技术在数字集成电路集成度越来越高的情况下,开发数字系统的使用方法和用来实现这些方法的工具已经发生了变化,但大规模集成电路中的基本模块结构仍然需要基本单元电源电路的有关概念,因此用基本逻辑电路来组成大规模或中规模地方法仍然需要我们掌握。
二进制数及二进制代码是数字系统中信息的主要表示形式,与,或,非三种基本逻辑运算是逻辑代数的基础,相应的逻辑门成为数字电路中最基本的元件。
数字电路的输入,输出信号为离散数字信号,电路中电子元器件工作在开关状态。
除此之外,由与,或,非门构成的组合逻辑功能器件编码器,译码器,数字分配器,数字选择器,加法器,比较器以及触发器是常用的器件。
与模拟技术相比,数字技术具有很多优点,这也是数字技术取代模拟技术被广泛使用的原因。
本设计所实现的数字式秒表是电子设计技术中最基本的设计实验之一。
该数字计数系统的逻辑结构较简单,是由脉冲信号发生器,分频器,计数器,译码器,数码管组成。
本设计报告由内容摘要、设计任务要求、元件清单、电路图、设计成果的评价及课程设计心得体会组成,力求将整个系统的设计过程、原理、以及心得体会完整的呈现出来。
关键词:计数器 译码器 数码管 JK 触发器 D 触发器 谐振电路装 订 线目 录一 设计任务要求…………………………………………………… 二 元件清单……………………………………………………………×2.1 计数器74LS192……………………………………………………… 2.2 译码器74LS47……………………………………………………… 2.3 D 触发器74LS74……………………………………………………… 2.4 JK 触发器74LS112……………………………………………………2.5 与非门74LS00………………………………………………………… 2.6 电阻、电容、二极管………………………………………………… 三 电路图………………………………………………………………… 四 设计成果评价…………………………………………………………… 五 课程设计心得体会………………………………………………………附录………………………………………………………………………装 订 线一 设计任务要求1.1 设计任务用TTL 或CMOS 集成电路设计数字式秒表逻辑控制电路并实验验证。
简易数字秒表的设计与实现
课程设计题目简易数字秒表的设计与实现学院信息工程学院专业通信工程班级姓名指导教师撒继铭2016 年 6 月26 日课程设计任务书学生姓名:专业班级:指导教师:撒继铭工作单位:信息工程学院题目: 简易数字秒表的设计与实现初始条件:本设计主要使用集成译码器、计数器、定时器、脉冲发生器和必要的门电路等来完成,用一组数码管显示时间计数值。
要求完成的主要任务: (包括课程设计工作量及技术要求,以及说明书撰写等具体要求)1、课程设计工作量:1周。
2、技术要求:1)设计一个能测量3名100米跑运动员短跑成绩的数字秒表。
要求用一组四位数码管显示时间,格式为00.00s,最大计数时间是99.99秒。
2)秒表设置3个开关输入(清零开关1个、记录开关1个、成绩开关1个)。
按下“记录”开关第一次,将记录并储存第一名运动员的成绩,以此类推。
当“记录”开关按下3次后,成绩计数结束。
3)成绩计数结束之后,连续按动“成绩”开关,可以把3个运动员的成绩循环显示在数码管上。
4)确定设计方案,按功能模块的划分选择元、器件和中小规模集成电路,设计分电路,画出总体电路原理图,阐述基本原理。
3、查阅至少5篇参考文献。
按《武汉理工大学课程设计工作规范》要求撰写设计报告书。
全文用A4纸打印,图纸应符合绘图规范。
时间安排:1、年月日,布置课设具体实施计划与课程设计报告格式的要求说明。
2、年月日至年月日,方案选择和电路设计。
3、年月日至年月日,电路调试和设计说明书撰写。
4、年月日,上交课程设计成果及报告,同时进行答辩。
指导教师签名:年月日系主任(或责任教师)签名:年月日目录简易数字秒表的设计与实现 (1)摘要 (1)Abstract (2)1 简易数字秒表原理 (4)1.1 简易数字秒表原理总述 (4)1.2 简易数字秒表原理框图 (4)1.3 方案选择 (4)1.3.1 初始方案 (4)1.3.2 方案比较 (5)1.4 单元电路的设计和元器件的选择 (5)1.4.1 555多谐振荡器 (5)1.4.2 计数系统 (7)1.4.3 译码系统及显示系统 (9)1.4.4 记录系统 (11)1.4.5 清零系统 (12)1.5 完整电路图 (12)2 仿真结果及分析 (13)2.1 仿真结果分析 (13)4 性能测试数据及分析 (15)5 收获、建议及体会 (16)7 原件清单 (17)8 参考文献 (18)简易数字秒表的设计与实现摘要随着电子技术的发展,电子技术在各个领域的运用也越来越广泛,渗透到人们日常生活的方方面面,,掌握必要的数电知识已经成为当代大学生特别是理工类大学生必备的素质之一。
数字秒表的设计与实现实验报告
电子科技大学《数字秒表课程设计》姓名: xxx学号:学院:指导老师:xx1摘要EDA技术作为电子工程领域的一门新技术,极大的提高了电子系统设计的效率和可靠性。
文中介绍了一种基于FPGA在ISE10.1软件下利用VHDL语言结合硬件电路来实现数字秒表的功能的设计方法。
采用VHDL硬件描述语言,运用ModelSim等EDA仿真工具。
该设计具有外围电路少、集成度高、可靠性强等优点。
通过数码管驱动电路动态显示计时结果。
给出部分模块的VHDL源程序和仿真结果,仿真结果表明该设计方案的正确,展示了VHDL语言的强大功能和优秀特性。
关键词:FPGA, VHDL, EDA, 数字秒表2目录第一章引言 (4)第二章设计背景 (5)2.1 方案设计 (5)2.2 系统总体框图 (5)2.3 -FPGA实验板 (5)2.4 系统功能要求 (6)2.5 开发软件 (6)2.5.1 ISE10.1简介 (6)2.5.2 ModelSim简介 (6)2.6 VHDL语言简介 (7)第三章模块设计 (8)3.1 分频器 (8)3.2 计数器 (8)3.3 数据锁存器 (9)3.4 控制器 (9)3.5 扫描控制电路 (10)3.6 按键消抖电路 (11)第四章总体设计 (12)第五章结论 (13)附录 (14)3第一章引言数字集成电路作为当今信息时代的基石,不仅在信息处理、工业控制等生产领域得到普及应用,并且在人们的日常生活中也是随处可见,极大的改变了人们的生活方式。
面对如此巨大的市场,要求数字集成电路的设计周期尽可能短、实验成本尽可能低,最好能在实验室直接验证设计的准确性和可行性,因而出现了现场可编程逻辑门阵列FPGA。
对于芯片设计而言,FPGA的易用性不仅使得设计更加简单、快捷,并且节省了反复流片验证的巨额成本。
对于某些小批量应用的场合,甚至可以直接利用FPGA实现,无需再去订制专门的数字芯片。
文中着重介绍了一种基于FPGA利用VHDL硬件描述语言的数字秒表设计方法,在设计过程中使用基于VHDL的EDA工具ModelSim对各个模块仿真验证,并给出了完整的源程序和仿真结果。
数字电路课程设计报告数字秒表
数字电路课程设计报告——数字秒表一、设计任务与技术指标:设计数字秒表,以实现暂停、清零、存储等功能。
设计精度为0.01秒。
二、设计使用器件:74LS00 多片74163 4片4511 4片NE555 1片二极管1枚LED 共阴极七段译码器 4 个导线、电阻若干三、数字秒表的构成:利用555 设计一个多谐振荡器,其产生的毫秒脉冲触发74LS163计数,计时部分的计数器由0.01s 位、0.1s 位、s 个位、和s 十位共四个计数器组成,最后通过CD4511 译码在数码管上显示输出。
由“启动和停止电路”控制启动和停止秒表。
由“接地”控制四个计数器的清零。
图1 电子秒表的组成框图四、实现功能及功能特点:(1)、在接通电源后秒表显示00:00,当接通计时开关时秒表开始计时。
(2)、清零可在计时条件下也可在暂停条件下进行。
(3)、解决了在使用163清零端和保持端时由于163默认的清零端优先级高于保持端造成的0.01秒位上无法保持到0.09的技术问题。
(4)、增加了数据溢出功能,由于是4位秒表,最多计到一分钟,当秒表到达一分钟时,秒表自动暂停显示在60:00秒处,此时二极管发亮,起警示灯作用。
清零后则可继续计时。
(5)、由于条件有限,我们自己用导线制作了电源、清零、暂停等开关以减少导线的拔插造成的面板的不美观。
下图为完整课程设计的实物图:五、课程设计原理:本课程设计由模6000计数器和其控制电路组成,模6000计数器功能由同步加法计数器74163和与非门74LS00组成。
74163的功能及用法:74163同步加法计数器具有以下功能:(1)、同步清零功能。
当清零端输入低电平,还必须有时钟脉冲CP的上升沿作用才能使各触发器清零,此过程为同步清零。
(2)、同步并行置数功能。
(3)、同步二进制加计数功能。
(4)、保持功能。
综上所述,74163是具有同步清零、同步置数功能的4位二进制同步计数器。
74163的应用:(1)、构成任意模的计数器将74163与少量门电路结合可构成任意模计数器。
数电实验数字秒表
9.15数字秒表1.设计任务:设计用于体育比赛的数字秒表。
要求:(1)计时器能显示0.01s的时间。
图9-67 总体框图(2). 计时器的最长计时时间为24h。
总体框图如图9-67所示。
2. 模块及模块功能模块BAI如图9-68所示。
该模块为100进制计数器,输出的数值为0.01s 和0.1s.library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity bai isport(clr,clk:in std_logic;bai1,bai0:out std_logic_vector(3 downto 0);co:out std_logic);end bai;architecture bai_arc of bai isbeginprocess(clk,clr)variable cnt0,cnt1:std_logic_vector(3 downto 0);beginif clr='0' thencnt0:="0000";cnt1:="0000";elsif clk'event and clk='1' then 图9-68 模块BAIif cnt0="1000"and cnt1="1001"thencnt0:="1001";co<='1';elsif cnt0<"1001"thencnt0:=cnt0+1;elsecnt0:="0000";if cnt1<"1001"thencnt1:=cnt1+1;elsecnt1:="0000";co<='0';end if;end if;end if;bai1<=cnt1;bai0<=cnt0;end process;end bai_arc;模块MIAO如图9-69所示。
数字逻辑实验报告(秒表)
数字逻辑实验报告秒表设计[日期]MICROSOFT[公司地址]一、 实验目的二、实验内容及要求实验要求设计并实现一个数字秒表。
该秒表要实现以下功能:1、该秒表可以产生稳定的脉冲信号作为秒表的计时基准。
该秒表可以实现暂停、停止。
2、该秒表可实现暂停、恢复计数和清零功能。
3、改秒表具有十进制的数字显示功能。
三、实验电路总体结构设计根据设计要求,可以构造出数字秒表的结构框图,如图3-1所示。
该数字秒表的工作原理是:由秒脉冲发生电路产生稳定的秒脉冲信号,经过控制开关输出到计数器中。
秒计数器计满10后向秒十计数器产生进位脉冲。
计数器的输出经显示译码器译码后送显示器显示。
图3-1四、实验电路详细设计1、秒脉冲发生电路设计由于5G555具有电源范围宽、定时精度高、使用方法灵活等特点,我们采用由5G555构成的多谐振荡器产生秒脉冲信号。
5G555功能表如表3-2所示。
表3-2 5G555功能表 输入 输出TH TR RD OUT 放电三极管 d d 0 0 导通 >2/3Vcc >1/3Vcc 1 0 导通 >2/3Vcc <1/3Vcc 1 1 截止 <2/3Vcc >1/3Vcc 1 不变 不变计数器译码及显示电路显示 显示译码 译码 秒十计数秒计数 秒脉冲发生电路振荡器<2/3Vcc <1/3Vcc 1 1 截止由5G555构成的多谐振荡器如图3-1所示。
图3-1由图4-1可知,电路由两个外加电阻和一个电容组成。
5G555的D 端经R1接至电源VCC ,构成一个反相器。
电阻R2和电容C 构成积分电路。
积分电路的电容电压Vc 作为电路输入至TH 和TR 。
电路工作原理如下。
由于接通电源瞬间,电容C 来不及充电,电容器两端电压Vc 为低电平,小于(1/3)Vcc ,故TH 端电压<2/3Vcc 与TR 端电压<1/3Vcc ,输出OUT 状态为1,放电三极管T 截止。
数字式秒表实验报告
数字式秒表实验报告摘要本次设计任务是设计一个数字式秒表经查阅资料后我把实验分为1.脉冲产生部分。
2.电路控制部分。
3.计数部分4.译码部分。
5显示部分。
脉冲产生部分我选择555多谐振荡器,产生100Hz的脉冲。
经参考资料,电路控制部分:启动和暂停控制开关使用由RS触发器组成的无抖动开关。
使用74ls160计数器计数,7447译码器驱动共阳极七段显示器。
实验要求1.秒表最大计时值为99分59.99秒;2. 6位数码管显示,分辨率为0.01秒;3 .具有清零,启动计时,暂停及继续计数等控制功能;4.控制操作间不超过二个。
实验分析数字式秒表,所以必须有一个数字显示。
按设计要求,须用七段数码管来做显示器。
题目要求最大记数值为99,59,99,那则需要六个数码管。
要求计数分辨率为0.01秒,并且需要相应频率的信号发生器。
选择信号发生器时,有两种方案:一种是用晶体震荡器,另一种方案是采用集成电路555定时器与电阻和电容组成的多谐振荡器。
经过查询资料,555多谐振荡器性能稳定,故采用555多谐振荡器。
数字式秒表是一个频率(100HZ)进行计数的计数电路。
由于数字式秒表计数的需要,故需要在电路上加一个控制电路,该控制电路清零、启动计时、暂停及继续计数等控制功能,同时100HZ的时间信号必须做到准确稳定。
数字电子钟的总体图如图所示。
由图可见,数字电子钟由以下几部分组成:555振荡器秒脉冲发生器,防抖开关;秒表控制开关;一百进制秒、分计数器、六十进制秒计数器;以及秒、分的译码显示部分等七段显示器译码器译码器译码器1005551. 555构成的多谐振荡器555构成的多谐振荡器电路图555多谐振荡器工作波形多谐振荡器工作波形周期计算2.多谐振荡器仿真图根据设计要求,需要产生一个频率为100HZ的信号,由于f=1/T,带入可以算出R1=R1=4.7KΩ,在仿真软件上仿真的时候可以设置电阻为4.7KΩ,加上一个50Ω的电位器来调节脉冲信号的精确度。
数字式秒表设计方案
2. 增加复位 “reset_n”按钮(用实验板up按钮表示) •便于在硬件调试时使用。 •框图中未将reset接入模块,请根据自己的需要接入该信号。
注意:一般来说,时序模块均需加reset信号。
四、几点必要说明
(1)
调用时:应 始终接“0”, 否则,复位 时会被认为 有按键按下。
(2)在设计时,reset一般高电平有效,但实验开发板的复位输入 reset_n低电平有效。因此,可引入reset中间变量:
assign reset=~ reset_n;
(3)书中有一处错误:表6.3中,引脚b的管脚约束为:P3。
(4)由于顶层中已有DCM实例调用,本设计生成DCM模块时应取名为:
stopwatch_dcm。另外,dcm为保留字,不能作模块名、变量名及实例名等。
sys_clk
reset
pulse400Hz
”1” ci 分频器I co
(÷125000)
pulse10Hz
ci 分频器II co (÷40)
分频模块
led0 TQ
附加测试电路
第3页
三、附加测试电路说明
1. 三个测试信号输出led0~ led2(用LED指示灯表示)
•led0-用于判断分频器模块(包括DCM模块)是否正常,led0 闪烁(速度为一秒闪烁5次):表示分频器基本正常。 •led1-用于判断按键处理模块是否正常。每按一下ButtonIn按 键, led1指示灯改变状态一次:表示按键处理模块正常。 •led2-用于判断秒表控制 是否正常。每按三下ButtonIn按键,
pulse10Hz pulse400Hz sys_clk
timer_en
《秒表的设计与制作》PPT课件
消除抖动电路
N
键是否按下?
Y
延时10ms
N
键确实按下?
Y
处理按键
LOOP: P3.2, LOOP LCALL DE10 P3.2, LOOP LCALL KEY
项目三 秒表的设计与制作
四、秒表的设计
2. 软件设计
本任务可以划分成以下几个功能程序模块: 主程序:定时器、中断、内存单元初始化、按键的查询 KEY键处理子程序:统计按键次数,并进行相应处理 DIS显示子程序:秒计数器的计数值转换成十进制数,并显示 SEG7段码转换子程序:十进制数转换成段码 DLY10延时子程序:去抖、动态扫描时间 定时中断服务程序:1S时间到,修改秒计数器
SEG7 A
;关闭位选口 ;关闭段选口 ;计数器预设为0 ;选通P1.0控制的显示器 ;将R0中数字转换为显示码 ;从P2口输出
;取反
项目三 秒表的设计与制作
三、显示方式
MOV
P2,A
MOV
A,R1
MOV
P1,A
LCALL DLY10 ;延时10MS
MOV
P1,#0FFH
INC
R0
CJNE
R0,#4H,ST3
项目三 秒表的设计与制作
二、显示程序的任务
1、设置显示缓冲区,存放待显示数据和字符. 2、显示译码:程序存储器中建立字形码常数表,查表 得出对应数据和字符的字形码. 3、输出显示:输出字形码到显示端口.
例:电路如图所示,要求数 码管依次显示0~F,每 位数字显示1S.设晶振 频率为12 MHz.
项目三 秒表的设计与制作
环
程
选中该位
序
流
ቤተ መጻሕፍቲ ባይዱ
延时
电子秒表的设计 数电实训实验报告
数字电子技术课程设计报告(2011—2012学年第二学期)题目电子秒表的设计系别电子与电气工程系专业电力系统班级电气工程及其自动化(3)班学号102032322姓名王永春指导教师王岳桦,张琨英完成时间20012年6月12日评定成绩目录一、设计的目的二、设计的内容与要求三、设计方案四、设计总结五、参考文献一、设计的目的1、了解计时器主体电路的组成及工作原理;2、熟悉集成电路及有关电子元器件的使用;3、学习数字电路中基本RS触发器、时钟发生器及计数、译码显示等单元电路的综合应用。
二、设计的内容与要求由于实验电路中使用器件较多,实验前必须合理安排各器件在实验装置上的位置,使电路逻辑清楚,接线较短。
在实验时,应按照实验任务的次序,将各单元电路逐个进行接线和调试,即分别测试基本RS 触发器、单稳态触发器、时钟发生器及计数器的逻辑功能,待各单元电路工作正常后,再将有关电路逐级连接起来进行测试……,直到测试电子秒表整个电路的功能。
这样的测试方法有利于检查和排除故障,保证实验顺利进行。
1基本RS触发器的测试1、单稳态触发器的测试(1)表态测试用直流数字电压表测量A、B、D、F各点电位值。
记录之。
(2)动态测试输入端接受能1KHZ连续脉冲源,用示波器观察并描绘点(VII )F点(V)波形,台嫌单稳输出脉冲持续时间太短,难以观察,可适当加大微分电容C(如改为0.1u)待测试完毕,再恢复4700P。
2、时钟发生器的测试测试方法参考实验十五,用示波器观察输出电压小型并测量其频率,调节RW,使输出矩形波频率为50HZ。
4、计数器的测试(1)计数器①接成五进制形式,R0(1)、R(2)、S9(2)接逻辑开关输出插口,CP2接单次脉冲源,CP1接高电平“1”,QD~QA接实验设备上译码显示输入端口D、C、B、A,按表17—1测试其逻辑功能,记录之。
(2)计数器②及计数器③接成8421码十进制形式,同内容(1)进行逻辑功能测试。
数字秒表设计与实验_数字电子技术课程说明书[管理资料]
学校代码: 10128学号: 201031504024课程设计说明书题目:数字秒表设计与实验摘要数字秒表是一种常用的计时工具,以其价格低廉,走势准确,使用方便,功能多而广泛应用于体育比赛中。
本设计所实现的数字式秒表是电子技术中最基本的设计实验之一。
该数字计数系统的逻辑结构较简单,需要实现清零,启动计时,暂停计时,继续计时等功能。
本实验是由显示器,控制电路,脉冲发生器构成的。
其中控制电路基本由74LS160触发器,开关组成的电路部分。
多谐振荡器是由555定时器以及外围电路组成的电路部分。
关键词:数字秒表;74LS160触发器;555定时器AbstractDigital stopwatch is a common timing tool, with its low price, trend of accurate, convenient use, multiple functions and is widely used in sports competitions.The design realization of digital stopwatch is electronic technology in the most fundamental design experiment.The digital counting system logic structure is relatively simple, need to achieve zero, the start time, pause time, continue to timing and other functions.The experiment is composed of display, control circuit, pulse generator. The control circuit consists essentially of a 74LS160 trigger, switch circuit.The multivibrator is made up of 555timer and a peripheral circuit portion of the circuit.Keywords: Digital stopwatch;74LS160 trigger;555 timer目录一、设计任务概述 (1)二、设计方案论证及方框图 (1)三、电路组成及工作原理 (2)四、电路元器件选择与计算 (9)五、安装与调试 (10)1、安装 (10)2、测试方案 (10)3、调试过程 (10)4、调试中发现的问题及解决措施 (10)六、指标测试1、单元电路功能测试 (11)2、整体电路功能测试 (12)3、Max Plus Ⅱ仿真 (12)结论 (14)参考文献 (15)谢辞 (16)一设计任务概述数字秒表是日常生活中比较常见的电子产品,以其价格低廉,走时准确,使用方便,功能多而倍受广大用户的喜爱,如在很多喜庆的场合,对某一时刻进行倒计时,或者在体育比赛中进行计时等。
实验十三、数字秒表的设计 《电子技术基础实验(模拟、数字)》课件
• 了解数字秒表与普通钟表不同 • 它是从某一时刻到另一时刻的时间间隔
进行计时的仪器 • 该实验是一个系统性的综合实验
四川大学电工电子实验中心
一、设计任务及要求:
1. 设计一个能显示00”00~59”99的数字秒 表。
2. 该秒表还具有停振、计数、保持及复位的 功能。
四川大学电工电子实验中心
四川大学电工电子实验中心
六、实验调试及功能测试
1、振荡器功能测试: 用发光二极管观察振荡输出,并测试其振荡
频率 。 2、计数译码显示电路调试:
观察计数译码显示电路工作是否正常, 画出 正确的状态转换图。 3、观察波形测试参数:
观察并画出CP与2QC 波形,分别测量CP与2QC 的频率、周期和正频宽。(表格自拟)
四川大学电工电子实验中心
四川大学电工电子实验中心
四、实验主要元器件
1、CC4518是一个 双十进制计数器
CC4518功能表
CP E Cr
功能
Ф Ф 1 Q0Q1Q2Q3=0000
↑ 1 0 加法计数
0 ↓ 0 加法计数
1Ф0
不变
Ф↑ 0
不变
CC4518管脚图
↑00
不变
四川大1学电↓工电0 子实不验变中心
四川大学电工电子实验中心
4、进行系统调试,实现数字秒表的控制。
实现功能 K1
K2
K3
上,根据老师的要求改任 意进制的秒表。
四川大学电工电子实验中心
七、实验内容扩展
如果要求数字秒表计数范围扩展为 00”00~99”99,并实现其控制,你如 何改动?
四川大学电工电子实验中心
2、石英晶体
石英晶体是提供稳定频率的一种电子器件。石英 晶体振荡器是利用石英晶体的压电效应来起振,可以 直接应用于电路中。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
电子科技大学《数字秒表课程设计》姓名: xxx学号:学院:指导老师:xx摘要EDA技术作为电子工程领域的一门新技术,极大的提高了电子系统设计的效率和可靠性。
文中介绍了一种基于FPGA在ISE10.1软件下利用VHDL语言结合硬件电路来实现数字秒表的功能的设计方法。
采用VHDL硬件描述语言,运用ModelSim等EDA仿真工具。
该设计具有外围电路少、集成度高、可靠性强等优点。
通过数码管驱动电路动态显示计时结果。
给出部分模块的VHDL源程序和仿真结果,仿真结果表明该设计方案的正确,展示了VHDL语言的强大功能和优秀特性。
关键词:FPGA, VHDL, EDA, 数字秒表目录第一章引言 (4)第二章设计背景 (5)2.1 方案设计 (5)2.2 系统总体框图 (5)2.3 -FPGA实验板 (5)2.4 系统功能要求 (6)2.5 开发软件 (6)2.5.1 ISE10.1简介 (6)2.5.2 ModelSim简介 (6)2.6 VHDL语言简介 (7)第三章模块设计 (8)3.1 分频器 (8)3.2 计数器 (8)3.3 数据锁存器 (9)3.4 控制器 (9)3.5 扫描控制电路 (10)3.6 按键消抖电路 (11)第四章总体设计 (12)第五章结论 (13)附录 (14)第一章引言数字集成电路作为当今信息时代的基石,不仅在信息处理、工业控制等生产领域得到普及应用,并且在人们的日常生活中也是随处可见,极大的改变了人们的生活方式。
面对如此巨大的市场,要求数字集成电路的设计周期尽可能短、实验成本尽可能低,最好能在实验室直接验证设计的准确性和可行性,因而出现了现场可编程逻辑门阵列FPGA。
对于芯片设计而言,FPGA的易用性不仅使得设计更加简单、快捷,并且节省了反复流片验证的巨额成本。
对于某些小批量应用的场合,甚至可以直接利用FPGA实现,无需再去订制专门的数字芯片。
文中着重介绍了一种基于FPGA利用VHDL硬件描述语言的数字秒表设计方法,在设计过程中使用基于VHDL的EDA工具ModelSim对各个模块仿真验证,并给出了完整的源程序和仿真结果。
第二章设计背景2.1 方案设计本次试验采用如下方案:由基本数字逻辑单元进行设计,它由振荡器产生一定频率的方波脉冲,该信号的频率为48MHz,之后由分频器对方波脉冲进行分频,分别得到实验所需的1KHz和100Hz两种频率,以达到设计电路所需的频率脉冲,100Hz脉冲作为时钟信号驱动计数器进行计数,1KHz作为扫描频率,产生计数信号,最后由一个3-8译码器译码并在数码管上显示。
本次试验不需要搭建硬件电路,是基于FPGA的数字秒表设计方法。
采用VHDL硬件描述语言进行软件设计,最后将程序下载到电路板上运行。
2.2 系统总体框图本实验所设计的数字秒表主要有分频器计数器、数据锁存器、控制器、扫描计数器、数据选择器和7段译码器,显示电路、按键消抖电路组成。
系统框图如下图所示。
图1-12.3 -FPGA实验板我们将在EEC-FPGA实验板上完成秒表的设计实现,实验板原理如图1-3所示。
图1-22.4 系统功能要求秒表的计时范围为00’00”00 ~ 59’59”99。
有两个按钮开关Start/Stop和Split/Reset,控制秒表的启动、停止、分段和复位:在秒表已经被复位的情况下,按下“Start/Stop”键,秒表开始计时。
在秒表正常运行的情况下,如果按下“Start/Stop”键,则秒表暂停计时;再次按下该键,秒表继续计时。
在秒表正常运行的情况下,如果按下“Split/Reset”键,显示停止在按键时的时间,但秒表仍然在计时;再次按下该键,秒表恢复正常显示。
在秒表暂停计时的情况下,按下“Split/Reset”键,秒表复位归零。
2.5 开发软件本次试验所用的EDA软件包括ISE10.1和仿真采用的ModelSim。
2.5.1 ISE10.1简介ISE的主要功能包括设计输入、综合、仿真、实现和下载,涵盖了可编程逻辑器件开发的全过程,从功能上讲,完成CPLD/FPGA的设计流程无需借助任何第三方EDA软件。
ISE涵盖的功能有设计输入、综合、仿真、实现以及下载。
设计输入:ISE提供的设计输入工具包括用于HDL代码输入和查看报告的ISE 文本编辑器(The ISE Text Editor),用于原理图编辑的工具ECS(The Engineering Capture System),用于生成IP Core的Core Generator,用于状态机设计的StateCAD 以及用于约束文件编辑的Constraint Editor等。
综合:ISE的综合工具不但包含了Xilinx自身提供的综合工具XST,同时还可以内嵌Mentor Graphics公司的Leonardo Spectrum和Synplicity公司的Synplify,实现无缝链接。
仿真:ISE本身自带了一个具有图形化波形编辑功能的仿真工具HDL Bencher,同时又提供了使用Model Tech公司的Modelsim进行仿真的接口。
实现:此功能包括了翻译、映射、布局布线等,还具备时序分析、管脚指定以及增量设计等高级功能。
下载:包括BitGen,用于将布局布线后的设计文件转换为位流文件,还包括了IMPACT,功能是进行芯片配置和通信,控制将程序烧写到FPGA芯片中去。
2.5.2 ModelSim简介ModelSim是Mentor公司的产品。
在业界,它被认为是最优秀的HDL语言仿真软件。
它提供友好的仿真环境,是支持VHDL和Verilog混合仿真的仿真器。
它采用直接优化的编译技术、Tcl/Tk技术和单一内核仿真技术,编译仿真速度快,编译的代码与平台无关,便于保护IP核。
其个性化的图形界面和用户接口,为用户加快调错提供强有力的手段,是FPGA/ASIC设计的首选仿真软件。
2.6 VHDL语言简介VHDL全名Very-High-Speed Integrated Circuit HardwareDescription Language,诞生于1982年。
VHDL翻译成中文就是超高速集成电路硬件描述语言。
VHDL主要用于描述数字系统的结构,行为,功能和接口。
除了含有许多具有硬件特征的语句外,VHDL的语言形式、描述风格以及语法是十分类似于一般的计算机高级语言。
VHDL的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系统)分成外部和内部,即设计实体的内部功能和算法完成部分。
在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。
这种将设计实体分成内外部分的概念是VHDL 系统设计的基本点。
现在,VHDL和VERILOG作为IEEE的工业标准硬件描述语言,又得到众多EDA公司的支持,在电子工程领域,已成为事实上的通用硬件描述语言。
第三章模块设计3.1 分频器对晶体振荡器产生的48MHz时钟信号进行分频,产生100Hz的时间基准信号。
本实验先将晶体震荡的频率分频得到10KHz的信号,再从10KHz信号得到1KHzde 扫描频率,最后再产生计数的基准频率。
该模块的源代码详见附录1,图2-1为由ISE得到的设计综合图,图2-2为由ModelSim所得到的仿真图。
图2-1图2-2由图2-2的分频器仿真图可以发现,本程序依次得到了10KHz、1KHz、100Hz 三种不同的频率.3.2 计数器对时间基准脉冲进行计数,完成计时功能。
需要从0.01s开始计数,因此需要一个100Hz的时钟产生计数脉冲。
完成电子秒表的功能一共需要4个模10计数器和2个模6计数器。
下面以以模6计数器为例,其VHDL源程序详见附录2。
图2-3为由ISE得到的设计综合图,图2-4为由ModelSim所得到的仿真图。
图2-3图2-4由图2-4可以发现,当计数器从0计数到5的时候,又从0开始,实现了模6计数的功能。
3.3 数据锁存器锁存数据使显示保持暂停。
锁存器该模块部分VHDL源程序详见附录3,图2-5为由ModelSim所得到的仿真图。
图2-5由图2-5可以发现,当锁存使能为1时,锁存器的输入和输出一致,接着使锁存使能变为0,给不同的输入信号,锁存输出保持上一次的值不变,即是在锁存使能有效时将当前输入送给输出。
3.4 控制器控制计数器的运行、停止以及复位。
产生锁存器的使能信号,计数使能信号以及计数清零信号,其状态图如图2-6图2由图2-6可知,系统要求控制器有三个输出,分别是计数清零、计数使能和正常显示(锁存使能),输入为时钟和两个按键信号。
其状态转换关系如表一,其VHDL 源程序详见附录4。
图2-7为由ISE 得到的设计综合图,图2-8为由ModelSim 所得到的仿真图。
表一 状态转换关系图2-7图2-8由图2-8可以发现,当start_stop 为‘1’,split_reset 为’0’时,在时钟上升沿到来的时候输出状态由”011”变为”001”,接着当start_stop 为‘0’,split_reset 为’1’时,在时钟上升沿到来的时候输出状态由”001”变为”111”,接着当start_stop 为‘0’,split_reset 为’0’时,在时钟上升沿到来的时候输出状态保持”111”。
可以分析得出,该控制电路的状态变化符合要求。
3.5 扫描控制电路包括扫描计数器、数据选择器和7段译码器,控制8个数码管以扫描方式显示计时结果,该模块部分VHDL 源程序详见附录5。
图2-9为实验板上的显示电路以及扫描控制及显示译码的电路框图。
信号状态 start/stopsplit/reset 11 10 00 01 S0(111) S0 S1 S0 S0 S1(011) S1 S3 S1 S2 S2(010) S2 S1 S2 S2 S3(001) S3 S1 S3S0图2-93.6 按键消抖电路因为一般情况下按键在按下和松开的瞬间会出现抖动的现象,因此按键消抖电路的作用是消除按键抖动的影响以及保证每按一次键只输出一个脉冲,其宽度为一个时钟周期。
该模块部分VHDL源程序详见附录6。
图2-10是由ISE得到的设计综合图。
图2-10第四章总体设计各部分模块完成后,需要将各个模块组合起来完成数字秒表的整体结构。
图3-1为秒表系统的RTL Schematic图3-1由图3-1可知,秒表系统的输入只有三个,分别是晶体震荡的时钟信号,两个按键start/stop和splite/reset,系统的输出为段选信号和片选信号。
片选信号来自扫描时种下的计数器输出通过3-8译码器得到,从而来控制数码管轮流显示,由于扫描频率使用的是1KHz的时钟,因此人眼不能分辨,故而显示效果为8个数码管同时亮,段选信号来自计数器输出,还有一个OP_EN信号是由计数器产生的进为输出,在该系统中无用,故设置为open。