设计二 数字抢答器的设计
数字抢答器的设计(数电课程设计)
数字电路课程设计一、设计任务和要求:1. 抢答器同时供4名选手抢答,抢答器具有定时抢答功能,且一次抢答的时间为10秒。
当主持人启动"开始"键后,定时器进行计时(0~9)。
2. 抢答器具有锁存与显示功能。
即选手按动按钮,锁存相应的编号,并在数码管上显示,选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。
3. 参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,显示器上显示选手的编号和抢答的时间,绿灯亮,并保持到主持人将系统清除为止。
4. 在“抢答开始”命令发出后,超出规定时间无人抢答,定时显示器上显示9,显示抢答人组号的数码管此时显示无用字符,且红灯亮。
5.在“抢答开始”命令前抢答者,显示违规抢答者序号:红灯亮。
二、总体方案选择:工作原理如框图所示:接通电源后,主持人开关开始时接地处于禁止状态,编号显示器显示0,定时器显示时间(0);此时,若有人抢答, 为违规抢答,LED显示器显示其编号,并红灯警告.定时器显示不变;主持人将开关置“开始"端,宣布"开始",抢答器工作,同时定时器计时,选手在定时时间内抢答时,抢答器完成:优先判断, 编号锁存, 编号显示。
若在10秒内无人抢答,10秒到后抢答器自动锁定,计数器停止计数,抢答无效,且红灯亮。
如果再次抢答必须由主持人再次操作"清除"和"开始"状态开关。
数字抢答器框图:抢答按钮优先编码锁存器译码电路译码显示电路主持人控控制电路制开关秒脉冲产生定时电路译码电路显示电路三,单元电路设计所用器材芯片数量芯片数量555一片74LS161一片74LS75一片74LS32一片74LS48两片74LS20 一片74L04 一片七段显示管两个74L08一片发光二极管2个74L000 一个电阻6个电容:0.01uf 4.7uf 各一个面包板一块该电路完成两个功能:一是分辨出抢答的先后,并锁存优先抢答者的编号,同时译码显示电路显示编号;二是禁止其他选手按键,操作无效。
数字式竞赛抢答器设计报告
数字式竞赛抢答器设计报告实验日期:学院:班级:姓名:学号:1、设计任务与要求(1)设计一个可容纳7组参赛的数字式抢答器,每组设一个按钮,供抢答使用。
(2)抢答器具有第一信号鉴别和锁存功能,使除第一抢答者外的按钮不起作用。
(3)设置一个主持人“复位”按钮。
(4)主持人复位后,开始抢答,第一信号鉴别锁存电路得到信号后,由指示灯显示抢答组的编号,同时扬声器发出2~3秒的音响。
选做扩展功能:(5)设置一个计分电路,每组开始预制100分,由主持人计分,答对一次加10分,答错一次减10分。
2、设计原理定时抢答器的总体框图如图1所示,它由主体电路和扩展电路两部分构成,主体电路完成基本的抢答功能,即开始抢答后,当选手按动抢答键时,能显示选手的编号,同时能封锁输入电路,禁止其他选手抢答。
扩展电路完成各选手的得分显示功能。
定时抢答器的工作过程是:接通电源时,主持人将开关置于“清除”位置,抢答器处于禁止工作状态,编号显示器灭灯;抢答开始时,主持人将控制开关拨到“开始”位置,扬声器给出声响提示,抢答器处于工作状态,这时,抢答器完成以下工作:(1)优先编码器电路立即分辨出抢答者编号,并由锁存器进行锁存,然后由译码显示电路显示编号;(2)扬声器发出短暂声响,提醒主持人注意;(3)控制电路要对输入编码电路进行封锁,避免其他选手再次进行抢答;(4)当选手将问题回答完毕,主持人操作计分开关,计分电路采用十进制加/减计数器、数码管显示。
本轮抢答完毕,主持人操作控制开关,使系统回复到禁止工作状态,以便进行下一轮抢答。
单元电路设计:(1)抢答电路抢答电路包括抢答按钮、优先编码电路、锁存器、译码显示电路。
抢答电路的功能有两个:一是能分辨出选手按键的先后,并锁存优先抢答者的编号,供译码显示电路用;二是要使其他选手的按键操作无效。
1)抢答按钮电路抢答按钮电路由8个按钮开关及限流电阻所组成,如图2所示。
其供电电源选5V与系统电源一致。
限流电阻的确定,一方面要考虑开关断开时,要保证加到编码器(TTL电路)输入端的高电平大于器件所需的最低高电平(如2V);另一方面又要考虑开关闭合时,不至于有太大电流以增加电源消耗。
抢答器的设计与制作(五篇范例)
抢答器的设计与制作(五篇范例)第一篇:抢答器的设计与制作抢答器的设计与制作抢答器是竞赛问答中一种常用的必备装置,从原理上讲,它是一种典型的数字电路,其中包括了组合逻辑电路和时序电路。
从有利于学习的角度考虑,这里主要介绍以中小规模集成电路和PLD器件设计抢答器的方法。
1抢答器的基本组成及工作原理1.1抢答器的组成抢答器的一般构成框图如图1.1所示。
它主要由开关阵列电路、触发锁存电路、编码器、7段显示器几部分组成。
下面逐一给予介绍。
图1.1抢答器的组成框图(1)开关阵列电路该电路由多路开关所组成,每一竞赛者与一组开关相对应。
开关应为常开型,当按下开关时,开关闭合;当松开开关时,开关自动弹出断开。
(2)触发锁存电路当某一开关首先按下时,触发锁存电路被触发,在输出端产生相应的开关电平信息,同时为防止其它开关随后触发而产生紊乱,最先产生的输出电平变化又反过来将触发电路锁定。
若有多个开关同时按下时,则在它们之间存在着随机竞争的问题,结果可能是它们中的任一个产生有效输出。
(3)编码器编码器的作用是将某一开关信息转化为相应的8421BCD码,以提供数字显示电路所需要的编码输入。
(4)7段显示译码器译码驱动电路将编码器输出的8421BCD码转换为数码管需要的逻辑状态,并且为保证数码管正常工作提供足够的工作电流。
(5)数码显示器数码管通常有发光二极管(LED)数码管和液晶(LCD)数码管。
本设计提供的为LED数码管。
1.2抢答器的工作原理(1)开关阵列电路图1.2所示为8路开关阵列电路,从图上可以看出其结构非常简单。
电路中,R1~R8为上拉和限流电阻。
当任一开关按下时,相应的输出为低电平,否则为高电平。
图1.2开关阵列电路(2)触发锁存电路图1.3所示为8路触发锁存电路。
图中,74HC373为8D锁存器,一开始,当所有开关均未按下时,锁存器输出全为高电平,经8输入与非门和非门后的反馈信号仍为高电平,该信号作为锁存器使能端控制信号,使锁存器处于等待接收触发输入状态;当任一开关按下时,输出信号中必有一路为低电平,则反馈信号变为低电平,锁存器刚刚接收到的开关被锁存,这时其它开关信息的输入将被封锁。
数字逻辑电路课程设计——抢答器
西安邮电学院数字电路课程设计报告书——数字抢答器学院名称:电子工程学院学生姓名:专业名称:班级:实习时间:数字电路课程设计------------数字抢答器一、课程设计题目数字式抢答器二、设计任务和要求1.抢答器同时可供4路参赛选手同时抢答,分别用4个按钮S0~S3来控制。
2. 设置一个主持人开关,用来控制抢答的开始和结束。
3. 抢答器具有锁存与显示功能。
即选手按动按钮,锁存相应的编号,并在数码管上显示,选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清除为止。
4. 抢答器具有定时抢答功能,且一次抢答的时间为10秒。
当主持人启动"开始"键后,定时器采用倒计时计数到0。
5. 参赛选手在设定的时间内进行抢答,抢答有效,定时器停止工作,绿灯亮,数码管上显示选手的编号和剩余抢答的时间,并保持到主持人将系统清除为止。
6. 如果定时时间已到(计数至0),有人抢答,则为超时抢答。
红灯亮,并在数码管管上显示该抢答选手。
7.如果主持人未启动“开始”键,有人抢答,为提前抢答。
显示其号码,此时红灯亮提示。
三、数字抢答器总体方案1. 接通电源后,主持人将开关拨到"高电平"状态,抢答器处于禁止状态,编号显示器显示为0,定时器显示为0。
此时, 若有人抢答, 为违规抢答数码管显示其编号,并红灯警告.定时器显示不变。
2.主持人将开关置于”开始”状态,宣布"开始"抢答,抢答器工作, 定时器倒计时, 选手在定时时间内抢答时,抢答器完成, 编号锁存, 编号显示, 绿灯亮表示抢答有效。
3.若在10秒内无人抢答,10秒后抢答器自动锁定,计数器停止计数,抢答无效。
如果再次抢答必须由主持人再次操作"开始"状态开关。
四、单元电路设计1.抢答器电路的设计 (即完成锁存和显示功能)(1)抢答, 锁存电路:在这一部分,最主要的是锁存电路,锁存电路主要由74LS75来实现,当74LS75的4,13号管角的信号为使能端,当为“0”时,它将保持原来的状态:74LS75的管脚图和真值表:7475功能表D0Q0D1Q3Vcc有一组队员按下开关后,Q1,Q2,Q3,Q4中有一个信号为0,则它们四个通过与门后的信号为0,接入E12和E34,7475实现锁存功能,保持状态不变。
课程设计实验报告-抢答器
课题二数字式抢答器一.数字式抢答器功能概述在举办各种智力竞赛活动中,常常需要确定随是第一个抢答的人。
数字式抢答器利用电子器件可以准确的解决这一问题。
数字式抢答器允许抢答者在规定的时间范围内进行抢答,可以用数字显示抢先者的序号,并配有相应的灯光指示和声报警功能;对犯规抢答者(指在抢答开始命令下达前抢答者),除用声、光报警外,还应显示出犯规者的序号;若规定抢答时间已过,要告示任何输入的抢答信号均无效,除非重新下达抢答命令。
二.任务和要求设计一个数字式抢答器,具体要求如下:1.要求至少控制四人抢答,允许抢答时间为10秒,输入抢答信号是在“抢答开始”命令后的规定时间内,显示抢先抢答者的序号,绿灯亮。
2.在“抢答开始”命令前抢答者,显示违规抢答者的序号;红灯亮。
3.在“抢答开始”命令发出后,超过规定的时间无人抢答,显示无用字符(可自行确定)。
4.选做:不仅能显示抢答者的序号并且能显示抢答次序。
三.原理电路和程序设计(一)总体设计电路如下图所示为总体方框图。
其工作原理为:接通电源后,主持人将开关拨到"清除"状态,抢答器处于禁止状态,编号显示器灭灯,定时器显示设定时间;主持人将开关置开始"状态,宣布"开始"抢答器工作。
定时器倒计时,选手在定时时间内抢答时,抢答器完成:优先判断、编号锁存、编号显示,当一轮抢答之后,定时器停止、禁止二次抢答、定时器显示零。
如果再次抢答必须由主持人再次操作"清除"和"开始"状态开关(二)部分电路介绍1、抢答器电路参考电路如下图所示。
该电路完成两个功能:一是分辨出选手按键的先后,并锁存优先抢答者的编号,同时译码显示电路显示编号;二是禁止其他选手按键操作无效。
工作过程:开关S置于"清除"端时,当有选手将键按下时74L148的四个输出相与控制74L75的使能端,使其锁存并且让它和开关相与去控制红灯亮,保证报警电路通。
数字逻辑—2人抢答器实验报告
实验报告学院:计算机科学学院专业:年月日姓名学号班级指导老师课程名称数字逻辑成绩实验名称抢答器1.实验目的一.深入了解4D触发器74175、与非门7400以及CP时钟脉冲等单元电路在数字电路中的综合应用。
二.通过实验,弄清抢答器的工作原理,了解数字电路在生活中的应用。
三. 通过实际操作,锻炼、加强自身的动手能力。
2.实验内容一、根据实验电路图,领取两个芯片(74175、7400),数出22根连线,并在电路图上标出接线位置号。
二、根据实验要求,正确使用4D触发器74175和与非门7400芯片构成抢搭器。
三、检查连线,接上电源并给上脉冲,对所作实验进行抢答调试,看是否满足实验要求。
如符合,与小组分享实验心得。
反之,找出出错之处,加以改正。
3.实验环境数字逻辑实验台,74L00, 74L175。
4.实验方法、步骤、程序及测试结果(一)做出抢答器状态图11(A、B同时抢答的状态)不考虑,作为任意项处理。
1为抢答,0 为不抢答。
实验用两个D触发器实现(74175为4D触发器,本实验只用其中两个,将与非门7400和74175按线路连接起来(三).按照要求画出电路图如下图1所示(标出图中的接线孔数字),所用到的逻辑门如下图2所示(图1)(图2)1.K R接开关(清0作用),CP尽量接频率高的脉冲(低频时可能出现A、B两灯同时亮的情况),K A、K B(用K A、K B两开关表示抢答键)开始时置于0状态,置于0时表示未抢或不抢答。
2.将K A、K B先后置于1状态,观察L A、L B谁先亮,灯亮者为已抢到并具有答题权利。
3.K A、K B所代表的是A、B两人,一旦一方在另一方之前将开关置于1,则具备了抢答优势。
落后方,尽管将开关置于1状态,灯不会亮。
用K R清0后,则新一轮的抢答可开始。
5.功能说明:1.抢答器功能实现说明:由图1可看出,此功能通过触发器反码输出端封闭其他人的抢答,如:当L A灯亮时,Q A(反)为0,通过与门使D B的输入为0,则Q B 的输出为0,所以L B灯不会亮。
数字式竞赛抢答器设计
实验七
实验步骤
1步
抢答触 发锁存 电路
数字式竞赛抢答器设计
2步
编 码 器 犯规 指示 显 示 译 码
3步
数码 管显 示器
反 码 犯规触 发锁存 电路
反 码
定时 电路
复位 电路
抢答器的组成框图
4步
5步
1. 根据设计指标,画出抢答器的组成框图。 2. 根据抢答器的组成框图、设计指标和所提供的集成块设计各个模块的电路图。 3. 根据各个模块之间关系,设计出总电路图。 4. 设计好接线、调试的方案。 5. 逐步接线、调试,解决调试过程中遇到的问题。 6. 记录、整理实验结果,完善电路图。
4.
主持人置开关为“开始”后,开始抢答,第一信号鉴别锁存电路得到信号后, 该组对应的指示灯亮,并用数码管显示抢答组别。要求开始抢答时,数码管 出于消隐(不亮)状态。
5.
设置定时电路,开始抢答后,9秒内未抢答,自动锁定抢答器。如9秒内有 人抢答,则停止计数,倒计数值用数码管显示。
6.
设置犯规电路,对提前抢答组别,即在主持人置开关为“开始”前,开关已 经按下,其对应的“犯规”指示灯亮。
实验七
数字式竞赛抢答器设计
胡俊杰 2006.4
实验七
实验目的
数字式竞赛抢答器设计
1. 学习数字电路综合应用。 2. 学习简单数字系统设计方法。
实验七
设计指标
1. 2. 3.
数字式竞赛抢答器设计
可供4组参赛队抢答,每组1个开关。 开始抢答后,除第一抢答者的开关外,其它抢答开关不起作用。 设置一个主持人操作的开关,有“复位”和“开始”功能,“复位”时不能 抢答。
实验七
实验要求
数字式竞赛抢答器设计
数字抢答器课程设计摘要
数字抢答器课程设计摘要一、课程目标知识目标:1. 让学生理解数字抢答器的基本工作原理,掌握其电路组成及功能。
2. 学会使用数字抢答器进行简单的竞答活动,并能分析其优缺点。
3. 掌握数字抢答器中涉及的电子元件知识,如按钮、LED灯、晶体管等。
技能目标:1. 培养学生动手操作能力,能独立完成数字抢答器的组装和调试。
2. 提高学生问题解决能力,能针对数字抢答器出现的问题进行排查和维修。
3. 培养学生团队协作能力,通过小组合作完成竞答活动。
情感态度价值观目标:1. 培养学生对电子技术的兴趣和爱好,激发学习热情。
2. 培养学生勇于尝试、不断探索的精神,提高创新意识。
3. 增强学生竞争意识和公平意识,培养良好的竞赛道德。
分析课程性质、学生特点和教学要求:本课程为电子技术实践课程,旨在让学生在动手实践中学习数字抢答器的工作原理和组装技巧。
针对初中年级学生,课程内容紧密结合课本知识,注重实践操作和团队合作。
教学要求注重培养学生动手能力、问题解决能力和创新精神,同时关注学生情感态度的培养。
课程目标分解:1. 知识目标:通过讲解、示范和实践活动,让学生掌握数字抢答器的基本原理和电路组成,学会使用和分析竞答活动。
2. 技能目标:通过动手实践,培养学生组装、调试和维修数字抢答器的能力,提高团队协作能力。
3. 情感态度价值观目标:通过竞答活动,培养学生对电子技术的兴趣,激发学习热情,培养良好的竞赛道德。
二、教学内容本章节教学内容紧密围绕课程目标,结合课本相关章节,组织以下内容:1. 数字抢答器原理介绍:讲解数字抢答器的基本工作原理,电路组成,涉及电子元件的功能及相互关系。
2. 电路元件识别与使用:学习按钮、LED灯、晶体管、电阻、电容等电子元件的识别和使用方法。
3. 数字抢答器组装与调试:指导学生按照电路图进行数字抢答器的组装,并学会使用万用表等工具进行调试。
4. 竞答活动组织与实施:设计竞答题目,组织学生进行数字抢答器竞答活动,培养学生的实际操作能力。
数字抢答器的设计及原理 毕业设计
数字抢答器的设计及原理摘要随着计算机技术的高速发展,数字电路以其自身的特点,已广泛应用于各个领域.在知识竞赛中,特别是做抢答题目的时候,靠视觉是很难判断出哪组先抢答成功.本次设计的抢答器目的就是解决这些问题.整体的电路可以分为两部分:第一部分是抢答电路,第二部分是定时、报警电路.抢答器具有锁存与显示功能;定时、报警电路不仅可以对抢答及回答问题的时间进行定时,而且即使两组的抢答时间相差几微秒,也可分辨出哪组优先答题.整个电路按单元分可分为编码单元,锁存单元,加法器单元,设定抢答时间单元,和译码单元五个部分.本设计对各单元所需的元器件进行了详细的介绍,并附上了电路图,最终完成了设计目的.关键词:抢答器;数字电路;定时;译码;锁存ABSTRACTWith the rapid development of computer technology, digital circuit with its own characteristics has been widely used in various fields.a nd In the knowledge competition, especially when do vies to answer first subject, it is difficult to judge by visual out which section first vies to answer first success. The design of the purpose is vies to answer first to solve these problems. The whole circuit can be divided into two parts: the first part is vies to answer first circuit, the second part was the timing, alarm circuit. Vies to answer first instruments have lock to save and display; Timing, alarm circuit can not only to vies to answer first and answer problem of time for timing, and even if the two groups of vies to answer first time is a few microseconds, also can distinguish which group of preferred the answer. The whole circuit as a unit points can be divided into coding units, lock CDS yuan, adder unit, set vies to answer first time unit, and decode unit of five parts. The design of each unit required components is introduced in detail, and attach the circuit diagram, eventually completed the design purpose.Key words: Vies to answer first device; Digital circuit; Timing; Decoding; Lock to save目录1引言 (1)2设计任务目的及要求 (1)2.1设计目的 (1)2.2设计要求 (1)3工作原理及设计方案 (2)3.1原理框图 (2)3.2设计思路 (3)3.3设计流程图 (3)3.4设计方案 (4)4单元电路设计与实现 (5)4.1编码单元 (5)4.2锁存单元 (6)4.3加法器单元 (7)4.4设定抢答时间单元 (8)4.5译码单元 (9)4.6秒脉冲电路 (9)4.7报警电路 (10)5选用74系列芯片实现的理由: (11)6实验工具 (11)7接线要求 (11)8改进设想及建议 (12)9实验结果 (12)10 心得体会 (12)参考文献 (13)致谢................................................................................................ 错误!未定义书签。
2路抢答器
设计实例1:
2路抢答器
一、设计目的
通过2路抢答器的电路设计,使学生掌握74LS00的用法和RS触发器电路的构成和工作特性,训练学生的动手能力,培养独立解决问题的能力,为今后电路设计和电类后续课程的学习奠定基础。
二、设计内容
设计一智能两路抢答器电路,实现如下功能:三个控制端,其中,2端为抢答输入端,1端为主持人控制端。
当主持人按下控制按键后,开始抢答,先按下者对应的灯亮,其他抢答者按下无效。
支持人再次按下时,清除上次的状态,重新循环。
三、工作原理
如图1所示,6两个与或非门构成3个RS触发器电路,主持人按键接在RS 触发器的复位端,按下后,发光二极管全灭。
按键抢答1和抢答2分别接在RS 触发器的置位端,任一个按下,都将改变原来的状态,使一个发光二极管亮,另一个灭。
此时再按下其他抢答键,对于和发光二极管连接的RS触发器电路来说,都属于保持,故发光二极管的状态不会改变,此时必须由主持按键复位,才能重新抢答。
图1 电路原理图
四、元件清单
五、实物图
按照原理图和元件清单,在电路板上焊接好元件后,实物图如图2所示。
在焊接和上电的时候,一定要注意电源的极性,不能接反,否则,可能要烧毁元器件,从而导致电路不能工作。
图2 实物样板。
电子课程设计双人抢答器
电子课程设计双人抢答器一、教学目标本课程的学习目标包括知识目标、技能目标和情感态度价值观目标。
知识目标要求学生掌握电子课程设计双人抢答器的基本原理、电路组成和编程方法;技能目标要求学生能够独立完成电子课程设计双人抢答器的组装、调试和优化;情感态度价值观目标要求学生在实践中培养团队合作意识、创新精神和责任感。
通过对电子课程设计双人抢答器的学习,学生将能够了解电子技术在实际应用中的重要性,提高对电子科技的兴趣和热情,培养解决实际问题的能力。
二、教学内容本课程的教学内容主要包括电子课程设计双人抢答器的原理、电路设计、编程和实验操作。
首先,介绍电子课程设计双人抢答器的基本原理和工作方式,使学生了解其功能和应用场景。
其次,讲解电路设计,包括传感器、放大器、编码器等电路元件的选择和连接方式,让学生掌握电路图的阅读和分析方法。
然后,介绍编程方法,教授学生如何使用编程语言编写控制程序,实现双人抢答器的功能。
最后,进行实验操作,指导学生组装、调试和优化电子课程设计双人抢答器。
三、教学方法为了激发学生的学习兴趣和主动性,本课程将采用多种教学方法。
首先,讲授法用于讲解基本原理和知识点,使学生掌握理论知识。
其次,讨论法用于引导学生探讨和解决问题,培养学生的思考能力和团队合作精神。
案例分析法用于分析实际应用案例,使学生了解电子技术在生活中的应用。
实验法用于锻炼学生的动手能力,通过实际操作加深对知识的理解。
四、教学资源本课程的教学资源包括教材、参考书、多媒体资料和实验设备。
教材和参考书将提供理论知识的学习,多媒体资料用于辅助讲解和展示实验操作,实验设备用于学生的实践操作。
此外,还可以利用网络资源,如电子课程设计双人抢答器的开源代码和教学视频,为学生提供更多的学习资料和实践经验。
五、教学评估本课程的教学评估将采用多种方式,以全面、客观、公正地评估学生的学习成果。
平时表现将占评估总分的30%,包括课堂参与度、团队合作表现等;作业将占评估总分的20%,包括电路设计、编程练习等;考试将占评估总分的50%,包括理论知识测试和实验操作考核。
数字抢答器课程设计2
目录1 绪论 (1)1.1课题背景 (1)1.2仿真软件现状 (1)1.3设计部分 (1)1.4设计思路 (2)1.5 数字抢答器总体方框图原理 (3)2电路的原理设计 (3)2.1 抢答器电路 (4)2.2 时序控制电路 (4)2.3报警电路 (5)2.4定时电路 (6)2.5 真值表 (7)2.6 原理图 (7)2.6.1 数字抢答器原理图 (7)2.6.2 定时电路原理图 (8)2.6.3报警及时序控制电路原理图 (9)总结 (11)致谢 (12)参考文献 (13)1绪论1.1 课题背景现在抢答器市场竞争激烈,种类繁多。
很多单位在举办知识竞赛活动时有抢答一项,需要用到抢答器,普通电子抢答器使用通用集成电路制成,价格高、显示方式简单、性价比较差。
本文设计的数字抢答器制作简单,显示方式先进,性价比较高,所以有很大的发展空间。
数字抢答器由主体电路与扩展电路组成。
优先编码电路、锁存器、译码电路将参赛队的输入信号在显示器上输出;用控制电路和主持人开关启动报警电路,以上两部分组成主体电路。
通过定时电路和译码电路将秒脉冲产生的信号在显示器上输出实现计时功能,构成扩展电路。
经过布线、焊接、调试等工作数字抢答器成形。
1.2仿真软件现状仿真的手段是建立模型。
即使用计算机软件Protel 99SE设计数字抢答器电路,将设计的电路图做成计算机可以识别的形式,通过ERC检查来检验电路图电器连接是否正确,通过仿真电路中个元件的电流、电压和功率状态来模拟所设计电路的工作状态和各个元件在实际电路中是否发挥了设计中所设定的任务,对整个电路进行系统分析。
最后制出PCB电路板和它的三维仿真模型。
随着电子技术和计算机技术的发展,电子产品已与计算机紧密相连,电子产品的智能化日益完善,电路的集成度越来越高,而产品的更新周期却越来越短。
电子设计自动化(EDA)技术,使得电子线路的设计人员能在计算机上完成电路的功能设计、逻辑设计、性能分析、时序测试直至印刷电路板的自动设计。
数字抢答器课程设计
课程设计报告设计题目:数字抢答器班级:计算机1303班学号:20133863姓名:刘保栋指导老师:杨楠摘要在科技高速发展的21世纪,人才成为最重要的社会资源之一。
竞争日益激烈,人才选拔,评选择优的活动越加频繁,而在这些活动当中,往往分为几组选手参加,针对主持人提出的问题,如果用举手的方式抢答,往往会因主持人判断的误差,造成比赛的不公平性。
本着公平公正的原则,就需要有一种稳定、准确的工具,因此数字竞赛器应运而生,当今的社会竞争日益激烈,选拔人才,评选优胜,知识竞赛之类的活动愈加频繁,那么也就必然离不开抢答器。
因此抢答器是机关学校、电视台等单位开展智力竞赛活动必不可少的设备,通过抢答者的按键、数码显示等能准确、公正、直观地判断出优先抢答者。
本产品采用了数字显示器直接指示,自动锁存显示结果,并自动复位的设计思想,由数字电路以及外围电路组成,分为八路抢答;在抢答同时附有声音输出接口,提示主持人此时已完成这次的抢答。
不仅如此,为了方便不同场合的智力竞赛活动,为需要定时答题者提供可调计时器,无需人工参与。
与其他抢答器电路相比较有分辨时间极短、结构清晰、成本低、易操作、制作方便等优点。
由于其准确性高、实用性强,所以得到迅速推广,从最初的益智类节目,广泛应用到各类活动、娱乐节目中。
早期的竞赛器只由几个过三极管、可控硅、发光二极管等组成,能通过发光二极管的指示辨认出选手号码,现在大多数竞赛器单片机或数字集成电路组成。
关键词:竞赛活动、抢答、锁存、复位、可调倒计时目录第1章概述第2章课程设计任务及要求2.1 设计任务2.2 设计要求第3章系统设计3.1方案论证3.2 系统设计3.2.1 结构框图及说明3.2.2 系统原理图及工作原理`3.3 单元电路设计3.3.1单元电路工作原理第4章软件仿真4.1 仿真电路图4.2 仿真过程4.2 仿真结果第5章安装调试5.1 安装调试过程第6章结论第7章使用仪器设备清单收获、体会和建议参考文献第1章概述通过课题设计一个八路抢答器与可调定时器,运用所学数字电子电路的知识进行理论设计、安装调试、后期制作、分析总结等环节,以提高在电子技术方面的实践技能和科学作风,学习掌握工程设计的方法和组织实践的基本技能。
数字电路课程设计--抢答器
数字电路课程设计报告书——数字抢答器学院名称:电子工程学院学生姓名:专业名称:电子信息工程班级:实习时间:12月10日~12月21日一.题目:数字抢答器二.任务和要求:数字抢答器允许在规定的时间内进行抢答,可以数字显示抢答者的序号,并配有相应的灯光指示.对犯规的抢答者,除用灯光报警外,还应显示出犯规者的序号,若规定抢答时间已过,要求告示任何输入的抢答信号君无效,除非重新下达抢答命令.1. 此抢答器要求有四路,抢答后其余人抢答无效;2.抢答时间为10秒,在此期间内的抢答视为有效,并用灯指示; 3.抢答开始前及计时结束后抢答无效,并用灯指示。
三.总体方案的选择:四.单元电路的设计1. 时钟电路时钟电路采用555产生1Hz 的脉冲信号,电路图如下:U2LM555CMGND 1DIS 7OUT3RST 4VCC8THR 6CON5TRI 2VCC 5V R14.7kΩR2150kΩC110nF 3C24.7uF VCC2102. 抢答锁存电路:控制电路 计时电路 时钟电路 显示驱动电路抢答电路 译码电路 显示驱动电路 主持人电路状态电路在这一部分,最主要的是锁存电路,锁存电路主要由75来实现,当74LS75的4,13号管角的信号为“0”时,它将保持原来的状态,拒绝之后接收到的任何输入信号,如此即可实现锁存。
74LS75真值表:1C2C 3C4C Q1 1 10 X 0X 0 Qn当有一组队员按下开关后,Q1’,Q2’,Q3’,Q4’中有一个信号为1,则它们四个通过与非门后的信号为1,在通过非门后,它变为0,送到75的1C2C,3C4C端口,保持状态不变,实现锁存功能。
译码电路:当某个队员正常抢答上以后,要显示他的编码号,必须把该信号转换为二进制代码。
其关系为:Q1 Q2 Q3 Q4 A B C D1 0 0 0 1 0 0 00 1 0 0 0 1 0 00 0 1 0 1 1 0 00 0 0 1 0 0 1 0由上真值表知:2Q=;C=Q4;D=0;=;3B∙Q31QQA∙综上可得到抢答锁存电路的电路图3.计时电路:计时电路采用161芯片,产生10秒的倒计时161功能表如下:fg 状态转移图如下:计时到0后,由主持人电路产生置数信号,使161载入初值0110.电路图如下:4. 计数器的译码显示电路:两片74LS48的译码电路相同,连接图如下:5. 控制电路控制电路输入主持人电路的RESET ,START 信号,抢答器电路产生的S 信号,计时器产生的T 信号,输出各复位和使能信号。
二人抢答器的设计
综合实践1 二人抢答器的设计姓名:XXXXX学号: XXXXX班级:XXXXX指导老师:X时间:XX成绩:摘要本文介绍一种简单易制的抢答器,它适合于学校、机关智力等简单的竞赛用。
本装置可以进行2路抢答,并且具有限时回答功能,限制时间可以自行设定。
当按下任意一个抢答按键时,屏幕显示对应编号。
同时具有数据锁存的功能,通过数字逻辑器件组合,LM324等设计的电路,包含数电及模电知识,所采用的Protues是深受广大电路设计者喜爱并现今比较流行的设计工具,它为用户提供功能强大、使用方便的电路仿真器。
该抢答器设计简易,易于应用,节省原材料。
关键词:抢答器 LM324器件管脚作用AbstractThis paper describes a simple system, Responder, it is suitable for schools, offices and other simple racing intelligence. The device can be 2-way Responder, and has a limited response function can set the time limit. When you press any one answer in button, the screen displays the corresponding number. Function of both data latches, a digital logic device combination, LM324, etc. designed circuit, including the number of electrical and electronic knowledge model, using Protues is loved by the majority of circuit designers and design tools now more popular, it users with powerful, easy to use circuit simulator. The Responder design simple and easy to use, save raw materialsKey Words:Responder LM324 devices Pin function目录摘要... (1)Abstract.. (2)第1章绪论 (1)1.1 课题背景 (1)1.2 LM324的简介 (2)1.2.1简介 (2)1.2.2特点 (2)1.3 LED数码管 (3)1.3.1简介 (3)1.3.2 LED的优点 (4)第2章二人抢答器的简介 (5)2.1 系统概述 (5)2.2 系统设计指标 (5)第3章设计任务与要求 (6)3.1 设计任务 (6)3.2 设计要求 (7)第4章系统仿真 (8)4.1 PROTEUS软件仿真 (9)4.1.1概述 (9)4.1.2 Protues其功能特点 (9)4.2 电路原理图及仿真原理图 (10)结论 (11)参考文献 (12)绪论1.1 课题背景数字技术是当前发展最快的学科之一,数字逻辑器件已从60年代的小规模集成电路(SSI)发展到目前的中、大规模集成电路(MSI、LSI)及超大规模集成电(VLSI)。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
2 数字抢答器的设计2.1 二人数字抢答器的设计一、设计任务:设计一个2人抢答器,采用EPM7128芯片,具体要求如下:(1)两人抢答,先抢为有效,用发光二极管显示是否抢到优先答题权。
(2)每人2位计分显示,答错不加分,答对加10分、20分、30分。
(3)每题结束后,裁判按复位,可重新抢答下一题。
(4)累计加分可由裁判随时清除。
二、设计框图:源程序:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_signed.all;entity qd isport(i1,i2:in bit;reset:in bit;g10,g20,g30:in bit;clk:in bit;cong:in bitlight1, light2:out bit= ’0 ’;t11:out std_logic_vector(6 downto 0):=”0111111”;t12:out std_logic_vector(6 do wnto 0):=”0111111”;t21:out std_logic_vector(6 downto 0):=”0111111”;t22:out std_logic_vector(6 downto 0):=”0111111”); end qd;architecture stru of qd issignal cs1:integer range 0 to 9;signal cs2:integer range 0 to 9;signal a,b:bit:= ’0’;signal 11,12:bit:= ’0’;beginprocess(clk)beginif clk’event and clk = ’1 ’thenif (cong = ’1 ’) thenif (reset = ’1 ’) thenif (i1 = ’0 ’and a = ’0 ’) then 11 <=’1 ’;elsif(i2 = ’0 ’and a = ’0 ’) then 12 <=’1 ’;a <=’1 ’;end if;i f (g10=’0’and 11= ’1 ’and b= ’0 ’)then cs1<=cs1+1;b<=’1 ’; elsif(g20=’0’and 11= ’1 ’and b= ’0 ’)then cs1<=cs1+2;b<=’1 ’; elsif(g30=’0’and 11= ’1 ’and b= ’0 ’)then cs1<=cs1+3;b<=’1 ’; end if;if (g10=’0’and 12= ’1 ’and b= ’0 ’)then cs2<=cs2+1;b<=’1 ’; elsif(g20=’0’and 12= ’1 ’and b= ’0 ’)then cs2<=cs2+2;b<=’1 ’; elsif(g30=’0’and 12= ’1 ’and b= ’0 ’)then cs2<=cs2+3;b<=’1 ’; end if;if (cs1 = 0) then t11<=”01111111”;elsif (cs1 = 1) then t11<=”0000110”;elsif (cs1 = 2) then t11<=”1011011”;elsif (c s1 = 3) then t11<=”1001111”;elsif (cs1 = 4) then t11<=”1100110”;elsif (cs1 = 5) then t11<=”11011101”;elsif (cs1 = 6) then t11<=”1111101”;elsif (cs1 = 7) then t11<=”0000111”;elsif (cs1 = 8) then t11<=”1111111”;elsif (cs1 = 9) then t11<=”1101111”;end if;if (cs2 = 0) then t21<=”01111111”;elsif (cs2 = 1) then t21<=”0000110”;elsif (cs2 = 2) then t21<=”1011011”;elsif (cs2 = 3) then t21<=”1001111”;elsif (cs2 = 4) then t21<=”1100110”;elsif (cs2 = 5) then t21<=”11011101”;elsif (cs2 = 6) then t21<=”1111101”;elsif (cs2 = 7) then t21<=”0000111”;elsif (cs2 = 8) then t21<=”1111111”;elsif (cs2 = 9) then t21<=”1101111”;end if;else 11<=’0’;12<=’0’;a<=’0’;b<=’0’;end if;else cs1<=’0’; cs2<=’0’; 11<=’0’;12<=’0’;end if;light1<=11;light2<=12;t12<=”01111111”;t22<=”01111111”;end if;end process;end stru;说明:当一人抢到优先权,发光二极管亮,另一人再按按键无效;答题结束后,裁判按复位键,方可再次抢答;每人有2个数码管显示累加计分情况,分数分为3档,用按键区别。
2.2 四人数字抢答器的设计在许多比赛活动中,为了准确、公正、直观地判断出第一抢答者,通常设置一台抢答器,通过抢答器的指示灯显示、数码显示和警示蜂鸣等手段指示出第一抢答者。
同时,还可以设置计分、犯规及奖惩计录等多种功能。
设计要求:(1)设计制作一个可容纳四组参赛者的数字智力抢答器,每组设置一个抢答按钮供抢答者使用。
(2)电路具有第一抢答信号的鉴别和锁存功能。
(3)设置计分电路。
(4)设置犯规电路。
设计方案根据系统设计要求可知,系统的输入信号有:各组的抢答按钮A、B、C、D,系统清零信号CLR,系统时钟信号CLK,计分复位端RST,加分按钮端ADD,计时预置控制端LDN,计时使能端EN,计时预置数据调整按钮TA、TB;系统的输出信号有:四个组抢答成功与否的指示灯控制信号输出口LEDA、LEDB、LEDC、LEDD,四个组抢答时的计时数码显示控制信号若干,抢答成功组别显示的控制信号若干,各组计分动态显示的控制信号若干。
根据以上的分析,可将整个系统分为三个主要模块:抢答鉴别模块QDJB;抢答计时模块JSQ;抢答计分模块JFQ。
对于需显示的信息,需增加或外接译码器,进行显示译码。
考虑到FPGA/CPLD的可用接口及一般EDA实验开发系统提供的输出显示资源的限制,这里我们将组别显示和计时显示的译码器内设,而将各组的计分显示的译码器外接。
抢答鉴别电路的设计与实现抢答鉴别模块用来判断A、B、C、D四组抢答者谁最先按下按钮。
并为显示端送出信号,使观众能够清楚的知道是哪一组抢答成功,是整个系统的核心部分。
同时为下一模块输入信号,以方便裁判为该组加分。
模块如图1所示,系统的输入信号有:各组的抢答按钮A、B、C、D,系统清零信号CLR。
系统的输出信号有:各组的抢答按钮显示端A1、B1、C1、D1,组别显示端STATES[3..0],同时作为下一模块JFQ模块的输入信号。
图1VHDL实现方法如下所示:ARCHITECTURE ART OF QDJB ISCONSTANT W1: STD_LOGIC_VECTOR:="0001";CONSTANT W2: STD_LOGIC_VECTOR:="0010";CONSTANT W3: STD_LOGIC_VECTOR:="0100";CONSTANT W4: STD_LOGIC_VECTOR:="1000";BEGINPROCESS(CLR,A,B,C,D) ISBEGINIF CLR='1' THEN STATES<="0000";ELSIF (A='1'AND B='0'AND C='0'AND D='0') THENA1<='1'; B1<='0'; C1<='0'; D1<='0'; STATES<=W1;ELSIF (A='0'AND B='1'AND C='0'AND D='0') THENA1<='0'; B1<='1'; C1<='0'; D1<='0'; STATES<=W2;ELSIF (A='0'AND B='0'AND C='1'AND D='0') THENA1<='0'; B1<='0'; C1<='1'; D1<='0'; STATES<=W3;ELSIF (A='0'AND B='0'AND C='0'AND D='1') THENA1<='0'; B1<='0'; C1<='0'; D1<='1'; STATES<=W4;END IF;END PROCESS;在抢答鉴别电路的设计中,A、B、C、D四组抢答,理论上应该有16种可能情况,但实际上由于芯片的反应速度快到一定程度时,两组以上同时抢答成功的可能性非常小,因此可设计成只有四种情况,即ABCD分别为1000、0100、0010、0001,这大大简化了电路的设计复杂性。
计分器的设计与实现在计分器电路的设计中,按照一般的设计原则,按一定数进制进行加减即可。
模块如图2所示,系统的输入信号有:计分复位端RST,加分按钮端ADD,减分按钮端SUB,组别号输入端CHOS[3..0]。