数字电路课程设计汽车尾灯控制电路设计

合集下载

数电课程设计汽车尾灯控制电路

数电课程设计汽车尾灯控制电路

汽车尾灯控制电路的设计一、设计基本要求:假设汽车尾部左右两侧各有3个指示灯(用发光二极管模拟)1.汽车整车运行时指示灯全灭;2.右转弯时,右侧3个指示灯按右循环顺序点亮;3.左转弯时左侧3个指示灯按左循环顺序点亮;4.临时刹车时所有指示灯同时闪烁二、设计方案:1.汽车尾灯显示状态与汽车运行状态的关系为了区分汽车尾灯的4种不同的显示模式,需设置2个状态控制变量。

假定用开关J1和J2进行显示模式控制,可列出汽车尾灯显示状态与汽车运行状态的关系,如下表所示。

2.在汽车行驶过程中,汽车的尾灯会根据汽车行驶的状态相应的发生状态的变化。

假设汽车尾灯左右两侧各有三个指示灯,设计一个用于控制汽车尾灯的电路。

方案原理框图如下图所示开关控制电路显示、驱动电路译码电路计数器{尾灯电路汽车尾灯控制电路原理框图本设计采用的方案主要由开关控制电路,三进制计数器,译码、显示驱动电路组成。

由于汽车左转或右转时,三个指示灯循环点亮,所以用三进制计数器控制译码器电路顺序输出低电平,从而控制尾灯按要求点亮。

三、电路设计步骤:1.时钟脉冲电路由于N555定时器内部的比较器灵敏度比较高,输出驱动电流比较大,功能灵活,而且采用差分电路形式,它的振荡率受电源电压和温度的影响小,由555定时器构成的多谐振荡器频率比较稳定,不易干扰;且此电路对秒脉冲的精度要求不是很高,所以选用有555构成的多谐振荡器做为脉冲电路。

时钟脉冲电路如下图1所示:1.时钟脉冲电路555定时器引脚图2. 三进制计数器汽车左或右转弯时由于是三个指示灯循环点亮,所以用三进制计数器控制译码电路顺序输出低电平,从而控制尾灯按要求电路,由此得出在每种运行状态下,各指示灯与各给定条件的关系,即逻辑功能表如下表所示:(0表示灯灭,1表示灯亮)三进制计数器功能表此计数器由74LS163芯片主要构成。

下面分别是它的真值表和引脚图:74LS163真值表74LS163引脚图三进制计数器电路图如下图2所示:2.三进制计数器电路图3、开关控制电路开关控制电路通过控制开关J1和J2的开通于关断,实现汽车正常行驶、左转弯、右转弯和刹车四种状态。

汽车尾灯控制电路数电课程设计

汽车尾灯控制电路数电课程设计

汽车尾灯控制电路数电课程设计一、课程设计基本信息1、课程名称:汽车尾灯控制电路数电课程设计2、课时安排:第一次课:课程介绍、研究的意义和内容、实验要求介绍、实验前的电路准备第二次课:实验前的电路调试、实验正式开始前的注意事项介绍第三次课:实验过程实施、实验过程问题排查第四次课:实验结果分析、实验总结3、教学内容:(1)汽车尾灯控制电路的主要原理:汽车尾灯控制电路利用电流控制开关连接在电池和汽车尾灯之间,控制尾灯的亮灭。

(2)器件构成:该电路中包括电池、电流控制开关、导线以及汽车尾灯。

(3)根据电路的接线安排,安装电池、电流控制开关、导线以及汽车尾灯。

(4)将电流控制开关接入电池,同时将电流控制开关的一端接入汽车尾灯,这样就形成一个汽车尾灯控制电路。

(5)按照设定好的电流值,调节电流控制开关,以控制汽车尾灯的亮灭。

(6)对所搭建的汽车尾灯控制电路进行实验,完成课程设计任务。

4、实验仪器及材料:(1)电源:12V电池或12V电缆;(2)电流控制开关:DIP开关;(3)导线:带插头的钢化导线;(4)汽车尾灯:圆形汽车尾灯。

二、课程实验的主要内容1、介绍汽车尾灯控制电路的主要原理,以及研究的意义和内容。

2、介绍实验要求,以及实验前的电路准备。

3、根据电路的接线安排,安装电池、电流控制开关、导线以及汽车尾灯。

4、将电流控制开关接入电池,同时将电流控制开关的一端接入汽车尾灯,这样就形成一个汽车尾灯控制电路。

5、按照设定好的电流值,调节电流控制开关,以控制汽车尾灯的亮灭。

6、实验过程中出现问题时,采用问题定位法,对实验中出现的问题进行定位及排查。

7、完成实验后,进行实验结果分析,并对实验总结进行评价。

数字电路课程设计(汽车尾灯控制)

数字电路课程设计(汽车尾灯控制)

西安电子科技大学数字电路课程设计汽车灯控制电路一.设计任务设计一个汽车灯控制电路,汽车部左右两侧各有3个指示灯(用发光二极管模 拟〉,当在汽车正常运行时指示灯全灭;在右转弯时,右侧3个指示灯按右循环顺 序点亮<R|f Ri R 2f R i R 2R 3f 全火f R i )时间间隔 0.5S <米用一个 2HZ 地方波 源);在左转弯时,左侧3个指示灯按左循环顺序点亮<L |f L i L 2f LiLL^f 全火f L i );在临时刹车或者检测尾灯是否正常时 ,所有指示灯同时点亮VRR2R 3 L 1L 2L 3 点亮);当汽车后退地时候所有灯循环点亮;当晚上行车地时候汽车灯地最下 一个灯一直点亮.b5E2RGbCAP利用multisim 7.0软件进行仿真三、设计要求分析以上设计任务,由于汽车左转弯、右转弯、刹车、倒车、晚上行车时 所有 灯点亮地次序和是否点亮是不同地,所以用74138译码器对输入地信号进行译码, 从而得到一个低电平输出,再由这个低电平控制一个计数器 74160,计数器输出为 高电平时就点亮不同地尾灯 <这里用发光二极管模拟),从而控制尾灯按要求点 亮.由此得出在每种运行状态下,各指示灯与给定条件间地关系,即逻辑功能表1 所示.汽车尾灯控制电路设计总体框图如图 1所示.piEanqFDPw开关控制汽车运云行状态 右转尾灯 左转尾灯3 S i S> 汽车运行」状态 R i R 2R 3L i L 2L 30 0 0 正常运行灯火 灯火0 0 1 左转弯 灯火按L i L 2L 3顺序循环点亮0 1 0 右转弯 按R1F 2F 3顺序循环点亮灯火0 1 1临时刹车/检测所有尾灯冋时点亮 1 0 0 倒车 所有尾灯按照转弯次序点亮1 01晚上行车时R 3,L 3 一直点亮译码电路74138DXDiTa9E3d图1汽车尾灯控制电路设计总体框图设计条件显示驱动电路R i R 2R 3 L i L 2L 3脉冲产生电路555 f*记数电路74160开关控制电路四、设计内容⑤⑥<一)■分步设计:1 •时钟信号源<CLK设计:①.设计说明:由于汽车灯是地点亮是给人地不同地信息及该车将要发生地动作,所以汽车地灯在闪烁地时候不能超过一定地频率,但是频率也不能太小,所以我们在设计地时候是采用地555定时器设计地一个脉冲产生源,占空比约为50%,它产生地频率f约为2HZ然后通过计数器就能控制汽车灯在循环点亮地时候时间间隔约为0.5S这样就能让人很清楚地明白该汽车地动作以采取相应地动作从而避免交通事故地发生.RTCrpUDGiT②.设计计算公式<对应右图):高电平时间:tM 77(R I R2)C低电平时间:t PI=0.7 R2C占空比:D 如R—t ph+tm R i +2R2如右图所示为在multisim 7.0里仿真时地实际连接电路.其中端口3为输出端,使用地时候只要将端口3接到CLK端即可.5PCzVD7HxA③.设计最后图形如右图所示:Rl 30K高电平时间t ph=250-0ms低电平时间tm =213.9ms占空比D=53.8% 频率f=2.158Cl12uF==555 3C2lOnF J8762C5 1C2lOnF 工9 VccR2812K2555定时仿真结果图形如右图所示:分析:根据右图分析结果,与预期结果一直,故设计正确.2.主电路设计:①.设计说明:实现地主要功能是通过开关控制从而实现汽车尾灯地点亮方式•根据表1具体实现如下:当& $ S O =000时候汽车处于正常行驶,尾灯完全处于熄灭状态,所以通过74138译码后为“ 11111110”不做任何处理jLBHrnAILg当S2S1 S0 =001时候汽车左转,所以汽车尾灯地左面3个灯按照L1—L1L2—L1L2L s f全火f L1 .... 顺序循环点亮,具体实现是通过74138对“ 001”译码为“ 11111101 ”然后通过译码后地低电平Y1通过一个非门控制计数器74160地ENT和ENP端开始计数,从而控制灯地点亮方式,计数范围为0000<全灭)—0001VL 点亮)f 0010<L1L2 点亮)f 001KL1L2L3 点亮)f 0100<异步清零0000……循环计数就实现了循环点亮地这个过程.由于记数脉冲是由555定时器产生地频率约为2HZ方波,所以循环点亮这个过程所需要地时间约为0.5S*4. XHAQX74J0X当S2S S0 =010时候汽车右转,所以汽车尾灯右面3个灯按照R1f R1R2fR1R2Rsf全灭f R1……顺序循环点亮,具体实现是通过74138对“010”译码为“ 11111011”然后通过译码后地低电平Y2通过一个非门控制计数器74160地ENT和ENP端开始计数,从而控制灯地点亮方式,计数范围为0000<全灭)f 0001<R 点亮)f 0010<R1R2 点亮)f 001KR1R2R3 点亮)f 0100<异步清零)f 0000……循环计数就实现了循环点亮地这个过程.由于记数脉冲是由555定时器产生地频率约为2HZ方波,所以循环点亮这个过程所需要地时间约为0.5S*4. LDAYtRyKfE当S2 S i S o =011时候汽车处于刹车状态或者处于检测汽车尾灯是否正常,所以汽车地尾灯全亮,具体实现是通过74138对“ 011”译码为“ 11110111 ”然后通过译码后地低电平Y3通过一个非门直接控制所有地尾灯点亮.Zzz6ZB2Ltk 当9 S1 S0 =100时候汽车处于倒车状态,倒车地时候汽车所有地尾灯按照转弯时候地顺序点亮灯.具体实现是通过74138对“ 100”译码为“11101111” dvzfvkwMI1然后通过译码后地低电平Y4通过一个非门控制另外一片计数器74160地ENT和ENP端开始计数,从而控制灯地点亮方式,计数范围为0000<全灭)—000<R,L1 点亮)—0010<R1 甩山匕点亮)—0011VR1R2R3.L1L2L3 点亮)—0100<异步清零)-0000……循环计数就实现了循环点亮地这个过程•由于记数脉冲是由555定时器产生地频率约为2HZ方波,所以循环点亮这个过程所需要地时间约为0.5S*4. rqyn14ZNXI当S2 s S0 =101时候汽车处于晚上行车状态,此时汽车尾灯地左右两端地最下面一个灯一直点亮即L3.R3 一直处于亮地状态•具体实现是通过74138对“ 101 ”译码为“ 11011111 ”然后通过译码后地低电平Y5通过一个非门直接控制L.3.R3 点亮.EmxvxOtOco②.主电路地仿真:分步仿真:⑴.汽车左转弯地仿真.在multisim 7.0下<以下地仿真都是在这个软件下,并且都是功能仿真)地仿真地电路图和波形.SixE2yXPq5VC:gmT sv:a£27V72□图3•左转弯仿真波形仿真波形分析:如图3所示当S 2 S i S 0 =001时候 w L i 变化顺序为: 000-»001 011 1+ 00叶由于输出为高电平时灯亮,所以尾灯地点亮方式 为:L | — L i L z — L | L 2L 3 T 全火—L i 6ewMyirQFLS2 S i S 0 =000地时候R R 2R i ,L i L ?L 3恒为000,所以所有灯熄灭与实际相符合 所以正确.kavU42VRUs⑵.汽车左右转弯仿真.图5.左右转弯仿真波形波形分析:根据图5有当S2Si S 0=001时候,L 3L ? L i 变化顺序为:000~P0i -011 11L000RR^R i =000由于输出为高电平时灯亮,所以尾灯地点亮 方式为:L i — L 1L 2TL 1L 2L 3T 全灭T L i ……R 3 R?R i 恒为熄灭;y6v3ALoS89S2 S i S 0 =010地时候,R 3 R?R i 变化顺序为:000-001 -011 11L0001t 2L 3=000由于输出为高电平时灯亮,所以尾灯地点亮方 式为:R i T R i R p T R i R 2 R 3T 全火T R i ........ L i L 2L 3 恒为熄火.M2ub6vSTnP S2 S i S 0 =000地时候,R 3 R 2R 1,L i L ?L 3恒为000,所以所有灯熄灭.经过分析与实际相符合,所以仿真正确.AA 3 /1o- ”L3 阳 Do- 7aL5-3ag7-SLS-3aDCL 1071BT 5t r74L504DXI-DSC74LS04.DIT4C-—",U4AQ1 3 fl - □Jb ■1■YYV-V* V*7EVABC-XESOLRR图4.左右转弯仿真电路⑶.汽车左右转弯和刹车地仿真图6•汽车左右和刹车仿真电路图7.汽车左右和刹车仿真波形根据图7有当S 2 S i S 0 =001时候,匕L 2L i 变化顺序为:11LO00RR2R i =OOO 由于输出为高电平时灯亮 所以尾灯地点亮R 3 R?R i 恒为熄灭;0YujCfmUCw000-^001 -011 11「000匕匕=000由于输出为高电平时灯亮,所以尾灯地点亮方 式为:Rjf R] R i R 2 R^f 全火f R i ..... L i L 2L 3 恒为熄火.eUts8ZQVRd S2 S i S 0 =011地时候,R 3 F 2R,L I L 2L 3恒为111,所以所有灯全亮.S2 S i S 0 =000地时候,R 3 F 2R I ,L I L 2L 3恒为000,所以所有灯熄灭. 经过分析与实际相符合,所以仿真正确.Mmmmwnwwwjwmnmmnnjw11*! I ■ ■ ! I I I ■ ! I I I B ! || < ! I ■ i ■ I I I ■ I I I ■! I I ■ ■I II■1 ............... ■ ■ ■ ■ ; ; i]1 1 I | ■ ■ |I I | | || || I | | | IIII II IIIIIi IIIi I I i ' I I I I IIII I i ■ I I Ii I I |l________ I I ________ I L__ n n-丄 | I11Ii I ■> I I I I I > I I—J U ---------r -1 H"I I I I > i I I--------- -------------- 1---------------- M ..... 1 i I LI : LJ : I ___n n n________________1 丨:1 ; ;i :i : ;i :i ;_:i 戸 I i I I i I I I ILi■Il 1 11 I 11j______ 1 I Il^—IJ L-J I-L ! ! ■! . L1> I I I I I I I I I I I I I I I I I I i II _______ I — — 一 — ____________________ _____ _____ _______波形分析:000—901 -011方式为:L i L 2f L I L 2L 3^全火f L iS S i S 0 =010地时候,R 3 R?R i 变化顺序⑷.左右转弯刹车和倒车仿真图8.汽车左右转弯刹车和倒车仿真电路图9.汽车左右转弯刹车和倒车仿真波形波形分析:根据图9有当S 2 S i S o =001时候,aa L i 变化顺序为:000-^001 -011 11LOO0RQR i =OOO 由于输出为高电平时灯亮,所以尾灯地点亮 方式为:L 1 — L 1L 2 — L 1L 2L 3T 全灭—L 1……R 3 R?R 1 恒为熄灭;sQsAEJkW5TS 2 S 1 S 0 =010地时候,R 3 R2R 1变化顺序为:000-001 -011 11L000T 1t 2L 3=000由于输出为高电平时灯亮,所以尾灯地点亮方 式为:R 1— R 1 R ?— R 1 R ? R 3—全火—R 1 ...... L i L 2L 3 恒为熄火.GMslasNXkA S2 S 1 S 0 =011地时候,R 3 F 2R I ,L 1L 2L 3恒为111,所以所有灯全亮• S2 S 1 S 0 =100地时候,R 3 F 2R I ,L 3 L 2L 1变化顺序都为: 000- 001- 011- 111- 000所以尾灯地点亮方式为:全灭 只1,穴12丄1厂r>*4071ET_5V*XI740^UMo-J暑」Eh"U 弭ir?Bu>-r>K4 mnjwinmwm wmjwinmwmn™• • • .........................................__________---------------------------- L-J__ I I I ii I I I— 、、・ r onli— ----- ——— -------- (I)i i I i I ..........................I 1 ■ —I..... ■ismaapBBm宀i is ■ i i i ii i i i bi r > I > > I > i - « i i i_ _-_,——I-,_=—»―-—■-—>—Ias—1I ...........................I I I I I IIl_l.IL_____I I I I I I —U ~liJtTIlJ -TL^T | 血础 HJ~LU~LJ ~LTIII _______VCCX3□4A—-74LSfl4D*― - 7iLSfl4B*ABC74L5D4口*□5DIT 61D74qSK*^4-38K* ITEiBD-丁帕时uesD4Q71.&D tV*OlHS4a75BD_5V*□SAMT 右 BD.W D9CX-B -0U1仲逊网*-o S VR 1R 2R 3.L 1L 2L 3全灭 TlrRGchYzg9 S i S o =000地时候,R 3 F 2R,L I R L 3恒为000,所以所有灯熄灭• 经过分析与实际相符合,所以仿真正确.⑸.左右转弯刹车倒车和晚上行车仿真....¥D二YL C Y2GLY5Y7pz>74 DSN阳'■TXH>J-Z- LU L“询ISKFG1 VX3I071BT S7□EB4&7£BlD i&VULDf3,5 VXi -o £ VD74QaN DffidD7HPI3*L&7 GV图10.左右转弯刹车倒车和晚上行车仿真电路图J £V0103■ 4 JJdkU7B05^DD74434N=rinnn ! i !j limn nUXfLLlhMLn^图11.左右转弯刹车倒车和晚上行车仿真波形波形分析:根据图9有当S2 S i S0 =001时候,L S L2 L in L变化顺序为:000-^001 -011 111^000T RR2R1=000由于输出为高电平时灯亮,所以尾灯地点亮方式为:L l —L1L2TL1L2L3—全灭T L1……R3R?R1 恒为熄灭;7EqZcWLZNXS2 S1 S0 =010地时候,R3 R?R1变化顺序为:000-001 -011 11L000T1L2L3=000由于输出为高电平时灯亮,所以尾灯地点亮方式为:R〔T R[ R p T R1 R2 R3T全火T R[ ............. L1 L2L3 恒为熄火.lz q7IGf02ES2 S1 S0 =011地时候,R B F2R I,L1L2L3恒为111,所以所有灯全亮.S2 S1 S0 =100地时候,R3 F2R I,L3 L2L1变化顺序都为:000- 001 011- 111- 000所以尾灯地点亮方式为:全灭2,穴1甩丄1厂R 1R 2R3.L 1L 2L 3全灭 zvpgeqJIhk9 S i S o =101 地时候,R 3 R2R 1Z L 2L 1 为 100 所以 R s, L 3 亮• S S 1 S o =000地时候,R s F 2R,L 1L 2L B 恒为000,所以所有灯熄灭. 经过分析与实际相符合,所以仿真正确.综合以上⑴⑵⑶⑷⑸得知此电路地设计是正确地 . (二>■总体设计: 1.设计地最后原理图:五■设计总结本次设计是通过查阅各种资料和我们地讨论地思考做出来地 ,由于学过 multisim7.0,经过思考后觉得由于我们地主电路都是数字电路构成地 ,所以完全可 以由multisim7.0仿真.但是对于输入脉冲 CLK 地频率较小,我们又必须设计一个 频率较小地方波源,通过网上查阅资料可以利用555定时器构成,于是我们又自己 设计一个方波源.但是设计好方波源后我们必须仿真看是否正确 ,于是就用到了 Multisim7.0进行仿真.这次我们设计地功能都是逐一增加地.NrpoJac3v1设计中地优点:基本实现了汽车在运行时候尾灯点亮方式地各种情况 . 设计中地不足:由于在行车地时候都是用开关控制地 ,所以每一个开关应该有一个消除机 械振动地装置,可以利用基本 RS 触发器来实现.在设计中可以再多用一个 74160 来做,从而简化电路图.所以如果在时间允许地条件下可以对这一系列地不足进行 解决,从而是整个系统更加可靠.1nowfTG4KI 六•设计参考资料 《数字电子技术基础》 西安电子科技大学出版社ST03T J 2TT7C!*D71BT_&V*3>-BR谿 ABCD £]匱•- C1 qJL&VIS o7«D3H -*rrPKS -o 2.B VYZ_B V34AUCA o工A丄Dt-Z3d-Ss7 ?¥¥?¥¥?BLffDiD*XFG1•MLS,741f£ltf -71D SET* D5C^zD-71D9F* USBICJ75B 口 &V*□torU 餡H 》7J03M*:H>-ia?6B0_&V*□M::5>—HQ7EED 57*X4Y3_E V图12.总体设计地最后原理图。

汽车尾灯控制电路数电课程设计原理

汽车尾灯控制电路数电课程设计原理

汽车尾灯控制电路数电课程设计原理下载提示:该文档是本店铺精心编制而成的,希望大家下载后,能够帮助大家解决实际问题。

文档下载后可定制修改,请根据实际需要进行调整和使用,谢谢!本店铺为大家提供各种类型的实用资料,如教育随笔、日记赏析、句子摘抄、古诗大全、经典美文、话题作文、工作总结、词语解析、文案摘录、其他资料等等,想了解不同资料格式和写法,敬请关注!Download tips: This document is carefully compiled by this editor. I hope that after you download it, it can help you solve practical problems. The document can be customized and modified after downloading, please adjust and use it according to actual needs, thank you! In addition, this shop provides you with various types of practical materials, such as educational essays, diary appreciation, sentence excerpts, ancient poems, classic articles, topic composition, work summary, word parsing, copy excerpts, other materials and so on, want to know different data formats and writing methods, please pay attention!汽车尾灯控制电路设计原理一、引言汽车尾灯的设计是为了在夜间或恶劣天气下提供车辆的位置和行驶方向信息,对行车安全至关重要。

数字电路课程设计汽车尾灯控制电路报告.

数字电路课程设计汽车尾灯控制电路报告.

沈阳航空航天大学课程设计(说明书)汽车尾灯控制电路设计班级/ 学号04070202-2072学生姓名张小田指导教师赵敏沈阳航空航天大学课程设计任务书课程名称电子技术综合课程设计院(系)专业班级202 学号姓名课程设计题目汽车尾灯控制电路设计课程设计时间: 2 年12月24 日至 2 年1 月6 日课程设计的内容及要求:一、设计说明在汽车行驶过程中,汽车的尾灯会根据汽车行驶的状态相应的发生状态的变化。

假设汽车尾灯左右两侧各有三个指示灯,设计一个用于控制汽车尾灯的电路。

其原理参考框图如图1所示。

开关控制电路显示、驱动电路译码电路计数器{尾灯电路图1 汽车尾灯控制电路原理框图二、技术指标1.汽车正常运行时指示灯全灭;2.汽车右转弯时,右侧三个指示灯按右循环顺序点亮;3.汽车左转弯时,左侧三个指示灯按左循环顺序点亮;4.汽车临时刹车时所有指示灯同时闪烁。

三、设计要求1.汽车尾灯控制电路的直流稳压电源要求自行设计。

2.在选择器件时,应考虑成本,要求指示灯用发光二极管模拟。

3.根据技术指标,通过分析计算确定电路和元器件参数。

4.画出电路原理图(元器件标准化,电路图规范化)。

四、实验要求1.根据技术指标制定实验方案;验证所设计的电路。

2.进行实验数据处理和分析。

五、推荐参考资料1.刘修文主编.实用电子电路设计制作.[M]北京:中国电力出版社,2005年2.朱定华主编.电子电路测试与实验.[M]北京:清华大学出版社,2004年3.路勇主编.电子电路实验及仿真.[M]北京:北方交通大学出版社,2004年六、按照要求撰写课程设计报告指导教师年月日负责教师年月日学生签字年月日成绩评定表评语、建议或需要说明的问题:成绩指导教师签字:日期:汽车尾灯控制电路设计一. 概述1.首先由开关控制电路,进而控制汽车尾灯闪亮,开关电路包括:非门,异或门,与非门,电阻,直流稳压电源。

分别控制输出的高低电平,以便达到控制各芯片工作的目的。

《数字电子技术课程设计》——汽车尾灯控制电路

《数字电子技术课程设计》——汽车尾灯控制电路

《数字电子技术课程设计》——汽车尾灯控制电路一、设计目的要求了解汽车尾灯控制电路的工作原理,掌握其外围电路的设计与主要性能参数的测试方法。

二、设计任务1、运用所学的理论知识,设计一种通过TTL 系列逻辑门及时序逻辑芯片设计模拟汽车尾灯工作情况电路。

2、通过制作脉冲产生器、任意进制的计数器和译码器的改用等一系列方法,以及显示驱动和模式控制的电路设计来完成任务。

3、设计通过发光二极管模拟汽车尾灯来实现了汽车在行驶时候的四种情况:正常行驶,左拐弯,右拐弯,紧急刹车。

4、设汽车尾部左右两侧各有3 个指示灯(用发光管模拟),要求是:(1)汽车正常行驶时,尾灯全部熄灭。

(2)当汽车右转弯时,右侧3 个指示灯按右循顺序点亮。

(3)当汽车左转弯时,左侧3 个指示灯按左循顺序点亮。

(4)临时刹车时,所有指示灯同时闪烁。

三、设计方案根据设计任务进行分析设计,列出设计过程、确定设计方案,按功能模块的划分选择元器件、集成电路,设计电路图,阐述基本原理。

1、任务和性能指标:用6个指示灯模拟汽车尾灯,两个开关模拟制动器。

(1)汽车正常行驶,所有指示灯都熄灭;(2)汽车右转弯时,右侧3个指示灯按右循顺序点亮;(3)汽车左转弯时,左侧3个指示灯按左循顺序点亮;(4)汽车紧急刹车时,所有指示灯同时闪烁。

2、选择总体方案:汽车尾灯控制电路主要由开关控制电路,jk时序逻辑电路,3-8译码器,显示驱动电路构成。

3-8译码器是低电平有效,从而控制尾灯按要求点亮。

3、设计单元电路:1.电源模块:提供稳定的电源给整个电路系统。

2.逻辑芯片:作为控制核心,处理输入信号并生成尾灯的控制信号。

选择适合的逻辑芯片,并根据其规格设计相应的最小系统,包括时钟、复位等。

3.尾灯驱动模块:根据逻辑芯片的输出信号,驱动尾灯的灯泡或 LED。

将计数器的输出信号转换为对应的控制信号,用于点亮或熄灭尾灯。

发光二极管将让的的点亮和熄灭。

这部分电路需要确保足够的电流能够流过LED,以使其正常发光。

数电大作业(汽车尾灯控制电路)

数电大作业(汽车尾灯控制电路)

图 3 计数译码电路
2.3 脉冲信号产生电路
用 555 构成多谐振荡器,为电路提供脉冲信号。其中频率为:
f 0 .7 ( R1 2 R 2 )C
调节 R1、R2 的大小可改变信号频率。
2012 西电大作业
图4
脉冲信号产生电路
2.4 显示电路
显示电路由译码器和 A 双向驱动,低电平有效,若 A=0,则灯亮;若 A=1, 则受译码信号控制,以实现电路功能。
二、设计要求
尾灯分别由左右各三个灯泡组成,实验中采用发光二极管显示。当接通左转、 右转、刹车、倒车检查等开关时指示灯按照指定要求闪烁。具体如下表格: 表一 汽车尾灯显示状态变化表 开关控制 S0 S1 S2 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 1 1 汽车行驶状态 正常行驶 右转 左转 刹车 倒车 夜间行驶 左尾灯状态 L1 L2 L3 熄灭 熄灭 依次亮 闪烁 闪烁 全亮 右尾灯状态 R1 R2 R3 熄灭 依次亮 熄灭 闪烁 不规则闪烁 全亮
2012 西电大作业 汽车尾灯控制电路
一、 设计目的
1、了解汽车尾灯控制电路的组成及工作原理。 2、由三个开关控制实现汽车正常行驶、右转弯、左转弯、刹车、倒车以 及夜间行驶时尾灯的变化情况。 3、正确使用 multisim 软件对电路进行仿真及观察。 4、通过此次设计实验加深对 3—8 译码器、 计数器等集成逻辑芯片的理解和运 用。
2.电路工作原理
2.1 开关控制电路
根据公式(1) 、(2),可连出如下电路图,其中 G、A 为使能输出端,开关 K0、 K1、K2 控制电路的运行。
2012 西电大作业
图 2 开关控制电路
2.2 计数及译码电路
本电路采用 74LS161 构成模三计数器,74LS138 实现译码功能。当 74LS161 正常工作时 QA、QB 实现 00、01、10 的循环。若 S1 开关关闭,则译码器对 000、 001、 010 循环进行译码, 可实现右尾灯依次亮; 若 S1 开关合上, 则译码器对 100、 101、110 循环进行译码,可实现左尾灯依次亮。

汽车尾灯控制电路设计

汽车尾灯控制电路设计

课程设计报告课程设计名称:数字逻辑电路系别:三系学生姓名:赵亚玲班级: 13计本一班学号: 20130303136成绩:指导教师:秦玉龙开课时间: 2014-2015 学年第一学期一、设计题目二、设计要求:三、总体方案:四、在设计过程中遇到的问题及解决方法五、对本设计的意见建议:六、参考文献一、设计题目:汽车尾灯控制电路设计二、设计要求:假设汽车尾部左右两侧各有3个指示灯(可用实验箱上的电平指示二极管模拟)a)汽车正常运行时指示灯全灭;b)右转弯时,右侧3个指示灯按右循环顺序点亮;c)左转弯时左侧3个指示灯按左循环顺序点亮;d)临时刹车时所有指示灯同时闪烁;三、总体方案:1、汽车尾灯控制电路方框图由脉冲产生电路、显示驱动电路、译码电路(38译码器)、计数电路(三进制计数器)、开关控制电路五个模块如图1所示连接。

尾灯电路图1-12、尾灯与汽车运行状态如表1所示(0表示开关闭合,1表示开关断开)表13、由于汽车左右转弯时对应3个指示灯循环亮,将6个指示灯连到译码器输出端,通过改变译码器的输入,使译码器顺序输出低电平,灯循环亮。

每次都是3个灯亮,意味着汽车左转弯或是右转弯时译码器的输出始终在3种状态之间循环,因此考虑设计一个三进制计数器,其输出端连到译码器的输出端,从而控制尾灯按要求点亮。

汽车尾灯控制逻辑功能表2:4、汽车尾灯控制电路原理框图: 尾灯电路 图1-25、设计单元电路:(1)三进制计数器电路。

由JK 触发器74112构成,可根据表1.1进行设计。

(2)汽车尾灯电路。

其显示驱动电路由6个发光二极管和6个反相器构成。

译 码电路由3-8线译码器74138和6个与非门构成。

74LS138的三个输入端C 、B 、A 分别接A1、Q2、Q1,而Q2Q1是三进制计数器的输出端。

当A1=0、使能信号A=G1=1,计数器的状态位00,01,10时,74138对应的输出端Y0非,Y1非,Y2非依次为0有效(Y3非,Y4非,Y5非信号为“1”无效),即反相器G1~G3的输出端也依次为0,故指示灯R1→R2→R3按顺序点亮示意汽车左右转弯。

汽车尾灯控制电路数电课程设计

汽车尾灯控制电路数电课程设计

汽车尾灯控制电路数电课程设计
汽车尾灯控制电路数电课程设计
一、实验目的
1、熟悉、掌握数字电路的基本概念和特性;
2、掌握程序控制器的原理及其应用;
3、熟悉汽车尾灯控制电路的结构及工作原理;
4、掌握计算机编程的基本方法;
5、掌握程序控制器实现汽车尾灯控制电路的操作。

二、实验内容
1、汽车尾灯控制电路的结构介绍;
2、实验要求:
(1)实现汽车尾灯控制电路的各个功能;
(2)编写汽车尾灯控制电路程序
3、建立汽车尾灯控制电路的实验板;
4、汽车尾灯控制电路的程序调试;
5、汽车尾灯控制电路的实验数据处理。

三、实验原理
本实验的主要内容是利用程序控制器实现汽车尾灯控制电路的操作,实现汽车尾灯控制电路的控制功能,实现汽车后尾灯的行使和关闭。

汽车尾灯控制电路包括电源供电电路、按键控制电路、尾灯控制电路、程序控制器(包括中央控制器和外接的I/O口)等组成,程序控制器由程序语言代码控制其内部的中央处理器,从而将按键控制
信号转换成尾灯控制信号控制其外部I/O口,实现汽车尾灯的控制。

四、实验步骤
1、根据原理图组装汽车尾灯控制电路实验板;
2、熟悉汽车尾灯控制电路的控制原理;
3、根据实验要求编写汽车尾灯控制电路的程序;
4、使用调试器对汽车尾灯控制电路的程序进行调试;
5、实验数据的处理与分析。

五、实验结论
通过本实验,我们熟悉和掌握了汽车尾灯控制电路的控制原理,并能够根据实验要求编写程序控制器实现汽车尾灯的控制功能。

本实验为我们了解程序控制器的应用,提高了我们的数字电路知识,以及掌握的计算机编程技能,给予我们很大的启发和帮助。

电子技术课程设计汽车尾灯控制电路

电子技术课程设计汽车尾灯控制电路

电子技术课程设计--- 汽车尾灯控制电路学院专业、班级姓名学号指导老师汽车尾灯控制电路-、设计任务与要求(1)内容:用6只发光二极管模拟6盏汽车尾灯(汽车尾部左、右各3盏),用两个开关作为转弯控制信号(一个开关控制右转弯,另一个控制左转弯)。

(2)要求:当汽车往前行驶(此时两个都未接通),6盏全灭。

当汽车转弯时,若右转弯(即右转开关接通),右边3盏尾灯从左到右顺序亮灭,左边3盏全灭;若左转弯(即左转开关接通),左边3盏尾灯从右到左顺序亮灭,右边3盏全灭。

当左右两个开关同时接通时,6盏尾灯同时明、暗闪烁。

总体框图(1)(2)0时钟脉冲是一个激励信号,给左右两个灯控制模块脉冲。

多路选择器是选择它的有用输出,在这个实验中,它有2个输入,3个输出当转到left开关时,输出选Ifen。

当转到right开关时,输出选rten.当两个都不开时,输出选IR,它是一个清零端。

左右边控制模块是控制它的输出,使它们的输出依次进行。

灯是起到亮灭的作用。

三、选择器件CTRL在这里是主控模块,主要是选择作用,它的输入是两个开关,输出主要选择哪个开关起到作用LEFTP—LEFTE N ILE D2 ——CLK LE D X —一 5 " g —次亮灭。

RIGHTPn1 OH TE H RILE□ LK RLE DJL5RLEO43LEFTP 是一个左灯控制模块,是一个沿时作用,它使3个输出灯依(4)-JLRIGHTP是一个右灯控制模块,也起延时作用,当脉冲到来时,RIGHTEN为高电平时,输出的三个灯从左到右依次亮灭四、功能模块1、( 1)时钟脉冲的VHDL语言library ieee;use ieee.std」o gic_1164.all;use ieee.std_logic_ un sig ned.all; en tity ck is port(clk:in std」ogic;cp:out std」o gic);end ck;architecture a of ck issignal cnter:std」ogic_vector(7 downto 0); beg inprocess(clk)begi nif clk'eve nt and clk='1'the ncn ter<=c nter+1;end if;end process;cp<=c nter(3);end a;(2) 时钟脉冲的生成符号CK 的功能就是起激励信号的作用,它给左右两灯的控制模块一序列脉冲(3) 时钟脉冲的功能仿真图一TLnmnnnrLrLRnmnnnrLrLRnr2、( 1)多路选择器的VHDL 语言library ieee;use ieee.std_logic_1164.all; en tity ctrl isport(left,right:i n std_logic; lfen,rten,lr:out std_logic); endctrl;architecture a of ctrl is begi n process(left,right)variable tmp:std 」o gic_vector(1 dow nto 0); beg in tmp:=left&right; case tmp is whe n"OO"=>lfe n<='0';rten <='0'; lr<='0';whe n"01"=>lfe n<='0'; rten<='1'; lr<='0'; whe n"10"=>lfe n<='1'; rten <='0'; lr<='0'; whe n others=> Ifenv='1';rtenv='1';lr<='1';end case; end process;ValuJ 775.0ns 800.0ns 025.On3 850.0ns 8751ns 900.0ns 9250ns 95O.ans 975.0ns I C 0 0 —end a;(2)多路选择器的生成符号CTRL—LEFT ILFE N:—RIGHT RTE N: LRCTRL的功能是选择作用,当LEFT输入时,输出选择LFEN当RIGHT俞入时, 输出选择RTEN当两个都没输入,输出选择LR清零端。

数字电子技术课程设计汽车尾灯控制电路

数字电子技术课程设计汽车尾灯控制电路

数字电子技术课程设计汽车尾灯控制电路随着社会的发展和科技的进步,数字电子技术已经成为现代社会发展的重要基础。

在车辆电子控制领域,数字电子技术也得到了广泛应用,如汽车尾灯控制电路。

本文将围绕数字电子技术课程设计汽车尾灯控制电路进行介绍和分析。

一、设计思路汽车尾灯是车辆常备顺从零配件,灯光作为协调交通规则和保障行车安全的重要部件,在我们的日常生活中扮演着非常重要的角色。

数字电子技术通过数字逻辑与模拟电子技术的基础集成,可以用于控制车辆配件的电路控制。

在本次课程设计中,主要是要探索如何用数字电子技术结合汽车尾灯控制电路的原理,来实现对汽车尾灯的控制。

所设计的汽车尾灯控制电路能够实现开、关、闪烁等常见功能,增强汽车在夜间行驶的安全性。

二、设计实现1、基础材料在开始设计汽车灯控制电路之前,我们需要准备一些基础的材料和工具。

这些材料包括:数字电路实验箱、Transistor、电阻、贴片电容、led 灯、按键开关、跳线等元件。

工具包括:万用表、烙铁、导线剪切器、镊子、吸锡器等。

2、电路原理本次课程设计基于NPN 型晶体管的单灯驱动电路。

NPN 型晶体管有一个基极、一个发射极和一个集电极,其还具有放大电流和开关控制的特性。

通过控制基极电流,实现开关引脚与驱动电源引脚的控制,从而可以实现对LED 灯的控制。

3、电路图设计汽车尾灯控制电路的电路图如下:其中,RTC 是无源谐振,目的是在led 灯熄灭的时候,正常开关的微动触点的弹跳时间,避免led 灯在微动过程中作出爆闪效果,影响谷车正常行驶。

按键开关通过触摸来控制灯的亮度,松开手即可保存亮度调整值。

4、电路操作按键开关按下可调节LED 灯的亮度,长按开关可关闭LED 灯,仿佛就像是一部智能的汽车电子控制系统,简洁易操作。

在使用过程中,需要特别留意安装电路的电瓶的电压大小,以免过大或过低导致短路,烧毁电路。

三、实验结果通过将设计好的电路拼装后,进行了实验测试。

实验的结果表明,设计的汽车尾灯控制电路可以实现开、关和闪烁等控制,且相互之间非常便捷。

课程设计-汽车尾灯控制电路设计

课程设计-汽车尾灯控制电路设计

课程设计-汽车尾灯控制电路设计汽车尾灯控制电路是指在汽车照明系统中,以确保行车安全和减少照明系统损耗为目的,实现尾灯的衔接、协调点亮的电路系统。

该电路系统具有可靠的工作性能,可在脉冲信号输入的基础上精确控制尾灯灯光的亮度和频率,从而达到节能减排和安全而又省电的效果。

汽车尾灯控制电路是一种模拟电路,其主要构成由控制器、变频器、电力变换器和LED灯元件构成,以及电池供电系统。

控制器是处理尾灯灯光各种变化信号的核心,可根据实际情况进行照明系统的调节,如行车速度的改变、车辆的夜间行车、城市道路上的车流量等,以保证尾灯灯光的有效控制。

变频器功能是指把低频电压变为高频电压,再通过电力变换器与LED灯元件结合,实现对LED灯元件输出功率的控制和调节,保证LED灯产生不同亮度和频率的灯光。

电池供电系统为汽车尾灯控制电路提供所需电容量,同时兼顾节能减排和省电的设计,使得尾灯更加安全有效。

尾灯控制电路的设计要求除了具有高可靠性之外,可靠的防错性能也必不可少。

首先,输入脉冲信号必须通过电路芯片的转换器从低频变为高频,以防止尾灯的恒流灯光,同时保证尾灯的灵活调节。

其次,电池供电系统必须具备安全可靠的保护功能,防止超流短路,超温和其他可能损坏组件的供电意外情况发生,以保证系统的正常工作性能和可靠性。

最后,LED灯元件设计要求考虑到结构小巧、体积轻、节能耐用的特点,以满足尾灯的模块化设计。

总之,汽车尾灯控制电路是安全驾驶和照明系统可靠性的关键环节,设计要求必须兼顾可靠性、灵活性和节能等多种因素,以保证照明系统的安全性和便捷性。

其中又以输入脉冲信号的转换、变频器技术和LED灯元件设计为核心,可满足对车辆尾灯高性能要求和实现可靠的节能减排效果。

汽车尾灯控制电路的设计.

汽车尾灯控制电路的设计.

电子课程设计——汽车尾灯控制电路的设计院系学院班级电气班姓名学号指导教师目录摘要---------------------------------------------------------------------------------------------21 设计任务与要求------------------------------------------------------------------------------42 方案论证与设计------------------------------------------------------------------------------5 3单元模块电路设计---------------------------------------------------------------------------11 4仿真结果及分析------------------------------------------------------------------------------21 5心得体会--------------------------------------------------------------------------------------23本次课设要求设计一个汽车尾灯的控制电路,用于反映汽车在运行时的状态。

汽车尾部左右两侧各有3个指示灯,当接通左转、右转、刹车和正常行驶时,指示灯按照指定要求闪烁。

对汽车的四种状态,分别用0,1对其进行二进制编码,正常行驶,向右转弯,向左转弯,紧急刹车分别对应00,01,10,11,故可以用两个开关S,S作为汽车运行信号的输入,控制清零端来控制寄存器;由计数器和数据选择器产生序列信号,送给寄存器的串行输入端完成循环左右移动;由555定时器产生时钟脉冲,经二分频后送给寄存器完成灯的闪烁。

数电课程设计汽车尾灯控制器

数电课程设计汽车尾灯控制器

20180825课题汽车尾灯控制器_______________ 专业电子信息工程________________ 班级电子Z121班_________________ 姓名 ______2016年5月20日目录第 1 章概述 (3)第 2 章方案论证 (4)第 3 章电路设计 (6)3.1 时钟脉冲电路 (6)3.2 开关控制电路 (6)3.3 三进制计数器 (7)3.4 译码、显示驱动电路 (8)第 5 章结论 (10)第7 章课设体会及合理化建议 (12)参考文献 (13)附录I 总电路图 (14)附录II 元器件清单 (15)2第1 章概述汽车尾灯控制电路是很常见的工作电路,在日常的生活中有着很广泛的应用。

汽车行驶时,会出现正常行驶、左转弯、右转弯、刹车四种情况,针对这四种情况可以设计出汽车尾灯的控制电路来表示这四种状态。

设计一个汽车尾灯的控制电路,技术指标如下:(1)假设汽车尾部左右两侧各有三个指示灯(用发光二极管模拟);(2)汽车正常运行时指示灯全灭;(3)汽车左转弯时,左侧三个指示灯按左循环顺序点亮;(4)汽车右转弯时,右侧三个指示灯按右循环顺序点亮;(5)汽车刹车时,所有指示灯同时闪烁。

第2章方案论证汽车尾灯控制电路主要由D触发器逻辑电路,左、右转控制电路、刹车控制电路构成。

首先将脉冲信号CLK提供给D触发器逻辑电路。

用三片D触发器设计一个逻辑电路可以产生001、010、100的循环信号。

将此信号作为左转、右转的原始信号。

设置左、右转控制开关。

通过开关的控制将左转、右转的原始信号通过逻辑电路分别输出到左、右的三个汽车尾灯上。

这部分电路起电路分拣的作用。

设置刹车控制开关将脉冲信号CLK提供给刹车控制电路。

当开关置为刹车信号时,分拣之后的信号通过逻辑电路实现刹车时所有指示灯随着时钟信号CLK 全部闪烁的功能。

最终得到的信号即可输出到发光二极管上,实现所需功能。

方案一原理框图如图1所示。

汽车尾灯控制电路数电课程设计

汽车尾灯控制电路数电课程设计

汽车尾灯控制电路数电课程设计
汽车尾灯控制电路数电课程设计
一、实验目的
1. 掌握汽车尾灯控制电路的原理;
2. 熟悉并掌握汽车尾灯控制的数电技术;
3. 培养学生的实验设计能力、分析问题、解决问题的能力;
4. 培养学生的操作能力。

二、实验内容
汽车尾灯控制电路,是汽车的一个重要电气配件。

由于汽车的多种操作,使得它的尾灯实现多种控制,如停车时,车辆正后方灯应该开起,汽车转弯、加减速时,采取不同的操作方式,使得尾灯达到一定的效果。

本实验的目的是利用数电技术设计一个汽车尾灯控制电路,控制汽车的尾灯。

三、实验要求
1. 实现汽车尾灯控制的基本功能;
2. 将控制信号转换成电气信号;
3. 编制程序实现尾灯控制功能;
4. 进行综合测试,验证控制电路的可靠性。

四、实验步骤
1. 了解汽车尾灯控制原理;
2. 确定控制电路电路原理;
3. 对控制电路组成部件进行布线;
4. 编写控制程序,实现控制功能;
5. 进行正常和异常测试;
6. 运行实验,反复验证结果。

数电课程设计(汽车尾灯控制电路)

数电课程设计(汽车尾灯控制电路)

数字电子课程设计汽车尾灯控制电路设计院系:专业:姓名:班级:学号:时间:指导老师:目录1 设计内容及要求2 电路的工作原理3 系统方案4 系统框图5 单元电路的具体设计6 总体电路图7 测试单元电路8 调试过程中遇到的问题及解决方法9 设计体会心得10 参考文献设计前言本课题设计一个汽车尾灯的控制电路。

汽车尾部左右两侧各有3个指示灯。

当接通左转、右转、刹车和检查时,指示灯按照指定要求闪烁。

电路设计预计所需元件:74LS00两个、74LS04两个、74LS32两个、74LS74两个、555定时器一个、0.01uF和10uF电容各一个、50k欧姆和43k欧姆电阻各一个、1k欧姆电阻4个、200欧姆电阻6个、开关4个、发光二极管6个、导线若干一、设计内容及要求本课题设计一个汽车尾灯的控制电路。

该电路由四个电键控制,分别对应着左转、右转、刹车和检查功能。

当接通左转或右转电键时,左侧或右侧的3个汽车尾灯按照左循环或右循环的顺序依次点亮。

当接通刹车电键时,汽车所有的尾灯同时闪烁。

当接通检查电键时,汽车所有的尾灯点亮。

二、电路的工作原理经过以上所述的设计内容及要求的分析,可以将电路分为以下几部分:首先,通过555定时器产生频率为1Hz的脉冲信号,该脉冲信号用于提供给D触发器和刹车时的输入信号。

3个D触发器用于产生三端输出的001、010、100的循环信号,此信号提供左转、右转的原始信号。

左转、右转的原始信号通过6个与门以及电键提供的高低电位信号,将原始信号分别输出到左、右的3个汽车尾灯上。

这部分电路起到信号分拣的作用。

分拣之后的信号通过或门,实现与刹车、检查电键信号的之间选择。

最终得到的信号即可输出到发光二极管上,实现所需功能。

三、系统方案通过D触发器产生001、010、100的三种状态信号。

这是本次课程设计中使用的方案,该方案的详细设计将在下面详细叙述。

四、系统框图(图1:系统总体流程框图)五、单元电路的具体设计:1.由555定时器构成的多谐振荡器:由555定时器构成的多谐振荡器时输出频率为:f=1.43/(R1+R2)/C,这里选择R1=43K 欧姆,R2=50K 欧姆,C=10u 法拉,则输出信号为1赫兹(周期为1秒)。

《课程设计-汽车尾灯控制器的电路设计》精选全文

《课程设计-汽车尾灯控制器的电路设计》精选全文

可编辑修改精选全文完整版一、概述1.设计目的:设计一个汽车尾灯控制电路,实现对汽车尾灯状态的控制。

2.设计要求:在汽.车尾部左右两侧各有3个指示灯〔假定用发光二极管模拟〕,根据汽车运行的状况,指示灯需具有四种不同的状态:①汽车正向行驶时,左右两侧的指示灯处于熄灭状态。

②汽车向右转弯行驶时,右侧的三个指示灯按右循环顺序点亮③汽车向左转弯行驶时,左侧的三个指示灯按左循环顺序点亮④汽车临时刹车时,左右两侧指示灯处于同时闪烁状态。

二、方案设计为了区分汽车尾灯的4种不同的显示模式,需设置2个状态控制变量。

假定用开关R和L进行显示模式控制,可列出汽车尾灯显示状态与汽车运行状态的关系,如表1所示。

表1 汽车尾灯和汽车运行状态在汽车左右转弯行驶时由于3 个指示灯被循环顺序点亮,所以可用一个三进制计数器的状态控制译码器电路顺序输出高电平,按要求顺序点亮3个指示灯。

设三进制计数器的状态用Q1和Q0表示,可得出描述指示灯D1、D2、D3、D4、D5、D6与开关控制变量R 、L,计数器的状态Q1、Q0以及时钟脉冲CP之间关系的功能表如表2所示〔表中指示灯的状态“1”表示点亮,“0”表示熄灭〕。

表2 汽车尾灯控制器功能表根据以上设计分析与功能描述,可得出汽车尾灯控制电路的原理框图如图1。

整个电路可由时钟产生电路、开关控制电路、三进制计数器电路、译码与显示驱动电路等局部组成图1 汽车尾灯控制电路的原理框图三、电路设计脉冲电路的设计方案一:石英晶体振荡器此电路的振荡频率仅取决于石英晶体的串联谐振频率fs ,而与电路中的R 、C 的值无关。

所以此电路能够得到频率稳定性极高的脉冲波形,它的缺点就是频率不能调节,而且频带窄,不能用于宽带滤波。

此电路非常适合秒脉冲发生器的设计,但由于尽量和课堂知识联系起来,所以没有采用此电路。

方案二:由555定时器构成的多谐振荡器由555定时器构成的多谐振荡器。

555定时器的管脚图如图2所示。

由于555定时器内部的比拟器灵敏度高,输出驱动电流大,功能灵活,而且采用差分电路形式,它的振荡频率受电源电压和温度的影响很小。

  1. 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
  2. 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
  3. 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。

汽车尾灯控制电路的设计
目录
1.设计任务和设计要求 (1)
1.1设计任务 (1)
1.2设计要求 (1)
2. 设计原理与总体框图 (1)
3.单元电路设计 (2)
3.1三进制计数器 (2)
3.2汽车尾灯控电路 (3)
3.3开关控制电路 (4)
3.4时钟产生电路 (5)
4.汽车尾灯总体电路 (5)
5.试验方案及体会 (7)
6.器件清单 (7)
7.参考文献 (11)
1.设计任务和设计要求
1.1设计任务
设计一个汽车尾灯控制电路。

汽车尾部左右两侧各有3个指示灯。

当接通左转、右转、刹车和检查时,指示灯按照指定要求闪烁。

1.2设计要求
设汽车尾灯左右两侧各有3个指示灯(用发光管模拟)。

要求是:
(1)汽车正常行驶时,尾灯全部熄灭。

(2)当汽车左转弯时,右侧3个指示灯按左循环点亮。

(3)当汽车右转弯时,左侧3个指示灯按右循环点亮。

(4)临时刹车时,所有指示灯同时闪烁。

(5)选择电路方案,完成对确定方案的设计。

计算电路元件参数与元件选择、并画出总体电路原理图,阐述基本原理。

制作实际运行装置。

表1.1尾灯和汽车运行状态关系表
2. 设计原理与总体框图
根据设计的基本要求,汽车左或右转弯时 , 三个指示灯循环点亮 , 所以用三进制计数器控制译码器电路顺序输出低电平,从而控制尾灯按要求点亮。

由此得出在每种运行状态下,各指示灯与各给定条件 (SI 、S0 、 CP 、 Q1 、 Q0 )的关系 , 即逻辑功能表如表2.1所示 ( 表中0表示灯灭状态 ,1表示灯亮状
态 ) 。

表2.1.汽车尾灯控制逻辑功能表
开关控制三进制计数器六个指示灯
S1 S0 Q1 Q0 D6 D5 D4 D1 D2 D3
0 0 ××0 0 0 0 0 0
0 1 0 0
0 1
1 0
0 0 0
0 0 0
0 0 0
1 0 0
0 1 0
0 0 1
1 0 0 0
0 1
1 0
0 0 1
0 1 0
1 0 0
0 0 0
0 0 0
0 0 0
1 1 ××CP CP CP CP CP CP
根据表1.1可以得出原理框图,如图2.1所示。

图2.1 汽车尾灯控制电路原理图
3.单元电路设计
3.1三进制计数器
三进制计数器可由双JK触发器74LS76构成,其连接电路如图3.1所示。

图3.1 三进制计数器电路
3.2汽车尾灯控电路
汽车尾灯尾灯电路如图3.2示,其显示驱动电路由6个发光二极管和6个反相器构成;译码电路由3-8线译码器74LS138和6个与非门构成。

74LS138的三个输入端A2、A1、A0、分别接S1、Q1、Q0,而Q1Q0是三进制计数器的输入端,而Q 1 Q 0是三进制计数器的输出端,当S 1=0、使能信号A=G=1,计数器的状态为00,
01,10时74LS318对应的输出端0Y 、1Y 、2Y 依次为0有效(4Y 、5Y 、6Y
信号为“1”无效),即反相器G 1~G 3的输出 端也依次为0,故指示灯D 1→D 2→D 3按顺序点亮示意汽车右转弯,若上述条件不变,而S 1= 1,则74LS318对应的输出端4Y 、5Y 、6
Y 依次为0有效,即反相器G 4~ G 6输出端依次为0,故指示灯D 4 →D 5 →D 6按顺序点亮,示意汽车左转弯,当G=0,A=1时,74LS138的输出端全为1,G 6~G 1的输出端也全为1,指示灯全灭灯;当G=0,A=CP 时指示灯随CP 的频率闪烁,示意汽车刹车。

图3.2 尾灯电路
3.3开关控制电路
设74LS138和显示器驱动电路的使能端信号分别为G和A ,根据总体功能表分析及组合得G、A与给定条件(S1、S0、CP)的真值表,如表3.1所示。

表3.1 S1、S0、CP与G、A逻辑功能表
开关控制CP 使能信号
S1 S0×G A
0 0 ×0 1
0 1 × 1 1
1 0 × 1 1
1 1 CP 0 CP
由表3.1经过整理得逻辑表达式为
G = S1⊕S0
由上式得开关控制电路,如图3.3示。

图3.3 开关控制电路
3.4时钟产生电路
时钟产生电路由555定时器构成的多谐振荡器组成,其电路如图3.4所示。

它的输出信号频率为:f=1.43/(R1+R2)/C 。

取R1= 15kΩ R2=68kΩ C=10μF,则输出信号为1Hz(周期为1s)。

图3..4 时钟产生电路
4.汽车尾灯总体电路
汽车尾灯控制电路原理图,如图4.1所示.
4.1 汽车尾灯控制电路总体电路
当S
1
=0、使能信号A=G=1,计数器的状态为00,01,10时74LS318对应的输出端0Y、1Y、2Y依次为0有效(4Y、5Y、6Y信号为“1”无效),即反相器G1~G3的
输出端也依次为0,故指示灯D
1→D
2
→D
3
按顺序点亮示意汽车右转弯,若上述条
件不变,而S
1
= 1,则74LS318对应的输出端4Y、5Y、6Y依次为0有效,即反相
器G
4~G
6
输出端依次为0,故指示灯D
4
→D
5
→D
6
按顺序点亮,示意汽车左转弯,
当G=0,A=1时,74LS138的输出端全为1,G
6~G
1
的输出端也全为1,指示灯全灭
灯;当G=0,A=CP时指示灯随CP的频率闪烁,即为刹车信号。

5.试验方案及体会
对照电路图进行组装,发现空间布局很重要。

布局得好,可以节省大量电线,且可以省去不少接线麻烦。

可以提高了系统的可靠性。

接线完毕,发现不能实现全部的功能(只有刹车时的功能)。

经检查,发现连线没有错误,疑为接触不良所致。

仔细检查接点,终于解决了问题。

电路终于能实现了指定的功能。

课题设计综合运用了组合逻辑电路和时序逻辑电路的知识。

进一步巩固了所学知识,锻炼了动手能力,以及将理论知识用于实际的能力。

此次设计,还让我们学习了用计算机作图的能力;搜索资料的能力。

在设计过程中,团队成员相互合作,相互交流经验。

尽管成功的作出来了,我仍觉得还有很大的改进余地。

6.器件清单
6.1所需器件如表6.1所示,另外还需要导线若干和实验电路板一个
表6.1器件清单表
元件名称规格及用途数量
74LS138 译码器 1
74LS76 触发器 1
74LS86 异或门 1
74LS00 二输入与非门 2
74LS04 反相器 2
74LS10 三输入与非门 1
555定时器产生1000Hz脉冲 1 电阻200Ω 6
电阻1kΩ 2
电容0.01u F 1
电容0.01u F ,10u F 各1个
电阻10k,100k 各1个发光二极管尾灯 6 开关充当S0和S11
6.2集成芯片管脚图
6.2.1 74138管脚图和功能表,如图6.1和表6.2所示。

图6.1 74LS138管脚图
6.2.2 74LS86管脚图,如图6.2所示。

图6.2 74LS86管脚图
6.2.3 74LS76管脚图和功能表,如图6.3和表6.3所示。

图6.3 74LS76管脚图
6.2.4 555定时器管脚图和功能表,如图6.4和表6所示。

图6.4 555定时器管脚图
1脚——(CND)地
2脚——(TR)低电平触发(<+1/3Vcc)
3脚——(OUT)输出端
4脚——(D R)复位端(不用时接V cc )
5脚——(C-U)电压控制端,可改变上、下触发电位,不使用时通过0.01uF电容接到地。

6脚——(TH)高电平触发( >+2/3Vcc)
7脚——(DIS)放电端
8脚——(V cc)电源端(V cc=5V~18V)
表6.4 555定时器功能表
7.参考文献
[1]康华光主编.电子技术基础(数字部分).北京:高等教育出版社,2000
[2]李银华主编.电子线路设计指导.北京:北京航空航天大学出版社,2005
[3]刘华军主编.电子技术实验教程.北京:电子工业出版社,2005
[4]何伟主编.现代数字系统实验及设计.重庆:重庆大学出版社,2006
[5]黄继昌等主编.数字集成电路应用300例.北京:人民邮电出版社,2001。

相关文档
最新文档