EDA课程设计--任意波形发生器
任意波形发生器的电路设计与实现
电子科技大学
硕士学位论文
任意波形发生器的电路设计与实现
姓名:周登荣
申请学位级别:硕士
专业:电路与系统
指导教师:唐广
20070520
EDA 课程设计
第一章绪论
1.1 EDA技术的简介
EDA是电子设计自动化(Electronic Design Automation)缩写,是90年代初从CAD(计算机辅助设计)、CAM(计算机辅助制造)、CAT(计算机辅助测试)和CAE(计算机辅助工程)的概念发展而来的。EDA技术是以计算机为工具,根据硬件描述语言HDL( Hardware Description language)完成的设计文件,自动地完成逻辑编译、化简、分割、综合及优化、布局布线、仿真以及对于特定目标芯片的适配编译和编程下载等工作。
1.1.1 EDA的软件介绍
EDA工具层出不穷,目前进入我国并具有广泛影响的EDA软件有:multiSIM7(原EWB的最新版本)、PSPICE、OrCAD、PCAD、Protel、Viewlogic、Mentor、Graphics、Synopsys、LSIIogic、Cadence、MicroSim等等。这些工具都有较强的功能,一般可用于几个方面,例如很多软件都可以进行电路设计与仿真,同进还可以进行PCB自动布局布线,可输出多种网表文件与第三方软件接口。
1.1.2 EDA技术的基本特征
EDA代表了当今电子设计技术的最新发展方向。它的基本特征是:设计人员按照“自顶向下”的设计方法,对整个系统进行方案设计和功能划分。系统的关键电路用一片或几片专用集成电路(ASIC,ApplicationSpecificIntegratedCircnit)实现,然后采用硬件描述语言(HDlHardwareDescriptionLanguage)完成系统行为级设计,最后通过综合器和适配器生成最终的目标器件,这样的设计方法被称为高层次的电子设计方法。
任意波形发生器
“美亚光电“杯
安徽省首届大学生电子设计竞赛
设
计
报
告
书
作品名称:参赛学校:参赛成员:任意波形发生器(A题)合肥学院
周海波刘亚军高超
任意波形发生器
摘要
本系统的设计综合了EDA技术和单片机技术的二者之所长,实现了二者在性能、功能以及资源上的良好结合,整个系统可简略分为波形产生模块、单片机控制模块、LCD显示、键盘、幅度控制模块等功能模块。采用直接数字频率合成(DDFS)技术,通过软件对其波形进行控制,实现多种波形的输出及组合。该系统可实现的频率范围宽、幅度和频率精度高,并且实现电路简单,结构较优,利用液晶显示屏实现了良好的中文人机交互界面。
Abstract :The design of this system synthesized the advantages of EDA technology and Microcontroller technology ,and it ……The whole system is made up of the part of wave producer ,the controller of microcontroller ,the display part of LCD ,the keyboard and the controller of range and frequency .The system adopts the method of Direct Digital Frequency Synthesis (DDFS) , whose wave is controlled through software .A few kinds of waves and their combinations can be produced .We can get wide and accurate frequency and high range .At the same time ,this system has less sample circuit and better structure .The LCD that we used can provide us very clear menu when we communicate with the machine.
任意波形发生器
湖北轻工职业技术学院电子设计自动化
实训报告
题目基于CPLD的任意波形发生器
系部信息工程系
专业电子信息工程技术
班级 09 电信
姓名朱丽丝
学号 0903021141 指导教师赵欣
2011年06月25日
目录
引言 (2)
第一章概述 (2)
第二章设计说明 (3)
2.1 设计要求 (3)
2.2 设计思路 (3)
第三章波形发生器的硬件结构 (4)
第四章系统设计 (5)
4.1 正弦波发生器的设计 (5)
4.2 三角波发生器的设计 (5)
4.3 方波发生器的设计 (6)
4.4 波形选择器的设计 (6)
4.5 D/A转换器 (7)
第五章设计结果 (8)
5.1 软件仿真结果 (8)
5.2 硬件测试结果 (9)
第六章总结 (11)
第七章致谢 (12)
第八章参考文献 (13)
第九章附录 (14)
7.1相关芯片资料 (14)
7.2相关程序; (15)
引言
任意波形发生器(AWG)是信号源的一种,它具有信号源所有的特点和要领。我们传统都认为信号源主要给被测电路提供所需的被测信号(各种波形),然后用其他仪表测量感兴趣的参数。可见信号源在电子实验和测试处理中,并不测量任何参数,而是根据使用者的要求,仿真各种测试信号,提供给被测电路,已达到测试的需要。
任意波形发生器是一种特殊的信号源,具有综合其他信号源波形生成能力,因而适合各种仿真实验的需要。
为近一步了解波形信号发生器的工作原理,我们设计了一种较简单任意波形发生器。要求电路至少可以产生正弦波、三角波、方波,并通过键盘切换三种波形的输出。电路设计中充分利用EDA-V硬件平台实现该波形发生器,并使用示波器测量输出结果。
函数任意波形发生器全攻略
函数/任意波形发生器用来做什么的?
一、函数功能,仿真基础实验室设计人员的环境函数信号源是使用最广的通用信号源,它能提供正弦波、锯齿波、方波、脉冲串等波形,有的还同时具有调制和扫描能力,众所周知,在我们的基础实验中(如大学电子实验室、科研机构研究实验室、工厂开发实验室等),我们设计了一种电路,需要验证其可靠性与稳定性,就需要给它施加理想中的波形以辨别真伪。如我们可使用信号源的DC补偿功能对固态电路控制DC偏压电平;我们可对一个怀疑有故障的数字电路,利用信号源的方波输出作为数字电路的时钟,同时使用方波加DC补偿产生有效的逻辑电平模拟输出,观察该电路的运行状况,而证实故障缺陷的地方。总之利用任意波形发生器这方面的基础功能,能仿真您基础实验室所必须的信号。二、任意波形,仿真模拟更复杂的信号要求众所周知,在我们实际的电子环境所设计的电路在运行中,由于各种干扰和响应的存在,实际电路往往存在各种信号缺陷和瞬变信号,例如过脉冲、尖峰、阻尼瞬变、频率突变等,这些情况的发生,如在设计之初没有考虑进去,有的将会产生灾难性后果。例如图1中的a处过尖峰脉冲,如果给一个抗冲能力差的电路,将可能会导致整个设备“烧坏”。确认电路对这样一个状况敏感的程度,我们可以避免不必要的损失,该方面的要求在航天、军事、铁路和一些情况比较复杂的重要领域尤其重要。由于任意波形发生器特殊的功能,为了增强任意波形生成能力,它往往依赖计算机通讯输出波形数据。在计算机传输中,通过专用的波形编辑软件生成波形,有利于扩充仪器的能力,更进一步仿真模拟实验。同时由于编辑一个任意波形有时需要花费大量的时间和精力,并且每次编辑波形可能有所差异这样有的任意波形发生器,内置一定数量的非易失性存储器,随机存取编辑波形,有利于参考对比;或通过随机接口通讯传输到计算机作更进一步分析与处理。三、下载传输,更进一步实时仿真在一些军事、航空、交通制造业等领域中,有些电路运行环境很难估计,在实验设计完成之后,在现实环境还需要作更进一步实验,有些实验的成本很高或者风险性很大(如火车高速实验时铁轨变换情况、飞机试机时螺旋桨的运行情况等),人们不可能长期作实验判断所设计产品(例如高速火车、飞机)的可行性和稳定性等;我们就可利用有些任意波形发生器波形下载功能,在作一些麻烦费用高或风险性大的实验时,通过数字示波器等仪器把波形实时记录下来,然后通过计算机接口传输到信号源,直接下载到设计电路,更进一步实验验证。将DDS应用于波形发生器,能非常方便的产生任意波形。一般除了具备常规函数发生器所具备的正弦波、方波、锯齿波、脉冲、噪声外,还有指数上升、指数下降、Sinc波、心电图波、直流,以及地震波等任意波形。能采用直接在仪器上手动编辑或windows下软件编辑的方式产生任意波形,用于模拟电路或应用环境中可能发生的情况,此外还具备非常丰富的调制功能,甚至有些调制功能是以往只能在高端信号源上才能看到的。
波形发生器的课程设计
学院
《电子技术》课程设计报告题目波形信号发生器的设计
姓名:
学号:
专业:
班级:
指导教师:
职称:
——学院——系
2011年9月
目录
1 绪论 (1)
1.1课题的目的 (1)
1.2设计任务和要求 (1)
2 总体设计方案 (2)
2.1课题分析 (2)
2.2设计步骤 (2)
2.3设计方案 (3)
3 主要器件简介 (3)
3.1LM324的功能 (3)
3.2电阻和电位器 (4)
3.3电容 (4)
3.4二极管和稳压管的识别和接法 (5)
4 单元电路设计与计算 (5)
4.1正弦波发生器 (5)
4.2方波-三角波发生器 (6)
5 系统总电路图 (8)
6 仿真分析与安装调试 (8)
6.1仿真分析图 (8)
6.2安装调试 (9)
6.3调整过程及波形分析 (9)
7 总结 (9)
参考文献 (18)
附录 (19)
波形信号发生器
1 绪论
波形信号发生器亦称函数信号发生器,作为实验用信号源,是现今各种电子电路设计实验应用中不可缺少的仪器设备之一。目前市场上出现的波形发生器多为纯硬件搭接而成,且波形有限,多为锯齿波、方波、正弦波、三角波等。
信号发生器作为一种常见的电子设备仪器,传统的仪器完全可以由硬件电路搭接而成。如采用555振荡器产生的正弦波、方波、三角波的电路是可取的路径之一,不用依靠单片机。但是这种电路存在波形质量差,控制难度大,调节范围小,电路复杂和体积大等缺点。在科学研究及生产实践过程中,如工业过程控制,生物医学,地震模拟机械振动等领域常常要用到低频信号源。而有硬件电路构成的低频信号其性能难以令人满意,而且由于低频信号用到的RC很大;大电阻,大电容制作上由困难,参数的精度难以保证;体积大,漏电,损耗显著更是其致命的弱点,一旦需求的功能增加,则电路的复杂程度会大大增加。
波形发生器课程设计
教师批阅
波形发生器设计
摘要
波形发生器是一种常用的信号源,广泛地应用于电子电路、自动控制系统和教学实验等领域。函数信号发生器是一种能够产生多种波形,函数信号发生器是一种能够产生多种波形,如三角波、如三角波、锯齿波、矩形波(含方波)、正弦波的电路。函数信号发生器在电路实验和设备检测中具有十分广泛的用途。通过对函数波形发生器的原理以及构成分析,可设计一个能变换出三角波、正弦波、方波的函数波形发生器。目前使用的信号发生器大部分是函数信号发生器,且特殊波形发生器的价格昂贵。所以本设计使用的是DAC0832芯片构成的发生器,可产生三角波、方波、正弦波等多种特殊波形和任意波形,波形的频率可用程序控制改变。在单片机上加外围器件距阵式键盘,通过键盘控制波形频率的增减以及波形的选择,并用了LCD 显示频率大小。在单片机的输出端口接DAC0832进行D/A 转换,再通过运放进行波形调整,最后输出波形接在示波器上显示。本设计具有线路简单、结构紧凑、价格低廉、性能优越等优点。波器上显示。本设计具有线路简单、结构紧凑、价格低廉、性能优越等优点。 本设计制作的波形发生器,可以输出多种标准波形,如方波、正弦波、三角波、锯齿波等,还可以输出任意波形,如用鼠标创建的一个周期的非规则波形或用函数描述的波形等,输出的波形的频率、幅度均可调,且能脱机输出。设计的人机界面不但清晰美观,而且操作方便。人机界面不但清晰美观,而且操作方便。
关键词:波形发生器;:波形发生器;DAC0832
DAC0832DAC0832;;单片机;波形调整
EDA课程设计:任意波形产生器报告
目录
第一章:绪论 (2)
1.1概述EDA技术 (2)
1.1.1 EDA技术的应用 (2)
1.2数字通信系统模型简述 (3)
1.2.1 DDS优点 (3)
1.2.2 基于DDS技术的任意波形发生器 (3)
第二章:任意波形产生器介绍 (4)
2. 1 应用场合与作用 (4)
2.2任意波形产生器系统的构成 (5)
第三章:任意波形产生器的设计 (7)
3.1设计要求 (7)
3.2设计思路 (7)
3.3设计流程 (8)
3.4设计步骤及程序 (8)
3.5 理论硬件调试结果 (14)
第四章:课程设计总结 (16)
参考文献 (17)
第一章:绪论
1.1概述EDA技术
EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。EDA技术是在电子CAD技术基础上发展起来的计算机软件系统,是指以计算机为工作平台,融合了应用电子技术、计算机技术、信息处理及智能化技术的最新成果,进行电子产品的自动设计。利用EDA工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的整个过程的计算机上自动处理完成。现在对EDA的概念或范畴用得很宽。包括在机械、电子、通信、航空航天、化工、矿产、生物、医学、军事等各个领域,都有EDA的应用。
任意波形信号发生器
一、题目要求及分析
1.1题目要求
任意波形信号发生器
利用FPGA器件产生控制信号及数据信号,经DAC0832和TL082转换产生以下波形:
1)正斜率斜波;
2)正弦波;
3)锯齿波;
4)任意波形。
用示波器观察输出波形。
硬件电路内容和要求:用DAC0832实现数模转换电路,用TLC082实现电流-电压转换电路,画出电路原理图。
软件设计内容和要求:VHDL编程实现任意波形的信号控制器。要求可以用开关切换不同的波形数据输出。
1.2题目分析
VHDL语言是随着集成电路系统化和高度集成化的发展而逐步发展起来的,是一种用于数字系统的设计和测试的硬件描述语言。相比传统的电路系统的设计方法,VHDL具有多层次描述系统硬件功能的能力,支持自顶向下和基于库的设计的特点,因此设计者可以不必了解硬件结构。从系统设计入手,在顶层进行系统方框图的划分和结构设计,在方框图一级用VHDL对电路的行为进行描述,并进行仿真和纠错,然后在系统一级进行验证,最后再用逻辑综合优化工具生成具体的门级逻辑电路的网表,下载到具体的CPLD器件中去,从而实现可编程的专用集成电路(ASIC)的设计。
在本次课程设计中,函数发生器的设计采用自顶向下的系统设计的方法,通过MAX+plusⅡ开发环境进行编辑、综合、波形仿真,并下载到CPLD器件中,采用模块化的设计,对功能的修改和增加,只要修改VHDL源程序,而不必更改硬件电路。实现数字系统硬件的软件化。
任意信号发生器体现在它能选择输出四个波形,即正斜率斜波、正弦波、锯齿波、任意波形;
还可以改变波形的某些表征参量,从而控制输出的波形。其主要问题是波形的选择和准备与输出的模拟信号波形相对应的数字信号,前者可以通过外接开关从而选择输出什么样的波形,后者可以通
波形发生器(VHDL)
华南理工大学广州学院
数字系统设计(VHDL)课程报告
题目:波形发生器
姓名:
学号:
序号:
学院:
班级:
指导老师:
完成时间: 2014-1-1 __
题目:波形发生器
一、 功能及原理介绍
1、功能介绍
此波形发生器,通过选择“00”、“01”、“10”、“11”,这四种模式来选择相应的
波形输出,除此之外,它还可以产生一些其它的波形,利用MIF 文件生成器产生波形的MIF 文件,由此产生各种波形。这个波形发生器可以用作信号发生器,产生一些自己所需要的信号。
2、原理介绍
正弦信号发生器的结构由 3 部分组成:数据计数器或地址发生器、数据 ROM 和 D/A 。性能良好的正弦信 号发生器的设计要求此 3 部分具有高速性能,且数据 ROM 在高速条件下,占用最少的逻辑资源,设计流程最便捷,波 形数据获最方便。顶层文件any_bo.VHD 在FPGA 中实现,包含2 个部分:ROM 的地址 信号发生器由7 位计数器担任,和正弦数据ROM ,拒此,ROM 由LPM_ROM 模块构成能达到最优设计,LPM_ROM 底层是FPGA 中的EAB 或ESB 等。地址发生器的时钟CLK 的输入频率 f0 与每周期的波形数据点数(在此选择 128 点)。
2.1.MIF 文件生成器的使用方法
mif 文件就是存储器初始化文件,即memory initialization file ,用来配置RAM 或ROM 中的数据。而产生MIF 文件的在这里有三种方法:(1)利用Quartus 自带的mif 编辑器、(2)利用mif 软件来生成、(3)用C 语言或者matlab 语言等来生成,而我就利用MIF 文件生成器MIF_Maker 2010来产生MIF 文件。
基于FPGA的任意波形发生器的设计和实现范文
0 引言
在腐蚀领域和电镀行业,常常需要使用任意波形的电流电压信号进行生产和测试。任意波形是指频率可变、幅值可变、相位可变的正弦波形和其他波形,如三角波形、锯齿波、特殊波形等。目前任意波形发生器大多采用直接数字频率合成(DDS)技术,即将波形的数字量信号存储于存储器中,嵌入式主机以一定的速率依次将存储器单元中的波形数据逐个发送给D/A转换器,合成为需要的波形。
随着微处理器日益广泛的应用和大规模集成电路技术的发展,出现了大量能够产生多种波形且性能稳定的任意波形发生器,但大多数方案都是基于串行或并行总线进行数据的传输,这种方案虽然成本较低,但系统的实时性较差,难以满足复杂波形的大数据量的传输要求。我们设计了一种基于FPGA芯片的任意波形发生器,充分利用了FPGA强大的逻辑功能,实现了利用单片FPGA芯片控制整个系统的方案。同时选择USB2.0接口芯片CY7C68013,它能较好地与FPGA芯片合作,完成系统预先设计的功能,使总体电路简单,成本低廉,产生的波形信号精度较高。数字波形数据从上位机通过USB总线直接存储在SRAM数据存储器中,通过FPGA控制,将波形数据读出,送入后向通道进行D/A转换和放大处理后得到所需的模拟信号波形。与传统的发生器在ROM或FLASH存储波形数据的方法相比,具有更大的灵活性。
1 系统总体结构及工作过程
波形发生器以FPGA为核心,它控制着整个系统的读、写、输出等操作,系统框图如图l所示。上位机将数字波形数据通过USB2.O总线送到数据存储器中,数据存储器循环地将波形数据发送到DAC电路,由DDS电路产生相应的DAC刷新时钟(0~1MHz,步进0.02Hz),DAC输出波形经缓冲放大、低通滤波、放大输出。输出的波形频率为0.1Hz(DC)~1MHz(-3dB),频率分辨率为0.01Hz。
eda-信号发生器三角波正弦波方波
1 引言
简易多功能信号发生器是信号发生器的一种,在生产实践和科研领域中有着广泛的应用。信号源主要给被测电路提供所需要的已知信号(各种波形),然后用其它仪表测量感兴趣的参数。可见信号源在电子实验和测试处理中,并不测量任何参数,而是根据使用者的要求,仿真各种测试信号,提供给被测电路,以达到测试的需要。信号发生器是电子测量领域中最基本、应用最广泛的一类电子仪器。它可以产生多种波形信号,如正弦波,三角波和方波等,因而广泛用于通信、雷达、导航、宇航等领域。
在本设计中它能够产生多种波形,如正弦波,三角波和方波等,并能实现对各种波频率和幅度的改变.正因为其在生活中应用的重要性,人们它做了大量的研究,总结出了许多实现方式。可以基于FPGA 、VHDL、单片机、DOS技能、数字电路等多种方法实现.
本设计是采用VHDL来实现的简易多功能信号发生器。它能产生正弦波,三角波和方波.且对各种波形的要求如下:
(1)设计任意信号发生器,使之能够生成正弦波、三角波和方波;
(2)电路的外部频率为40MHz,要求信号发生器可产生0—1KHz、1KHz~10KHz、10KHz~1MHz 三档频率的信号;
(3)要求具有波形选择和频率选择的功能;
(4)在同一频率档内,可实现频率的加减;
(5)要求显示波形的同时能够进行频率的调节;
(6)要求能够显示波形:A--正弦波;B—-三角波;C——方波;
(7)要求能够显示频率值;
(8)可用示波器进行波形的观测.
2 设计流程
2。1设计思想及流程图
本次课程设计按模块式实现,据任务书要求,设计总共分三大步骤完成:
EDA课程设计
目录
第一章绪论 (2)
第二章设计要求 (3)
2.1实验要求 (3)
2.2实验要求产生的波形 (3)
2.3电路模块组成图 (4)
第三章任意波形产生器的设计 (5)
3.1设计思路 (5)
3.2设计流程 (5)
3.3设计步骤及程序 (6)
3.3.1产生方波 (6)
3.3.2产生的三角波 (7)
3.3.3产生的正弦波 (8)
3.3.4特殊波形(正弦与三角的混合波) (9)
3.4硬件实现及调试结果 (11)
3.4.1测试与仿真结果 (11)
3.4.2管脚定义 (13)
第四章课程设计总结(心得体会) (14)
参考文献 (14)
第一章绪论
EDA技术发展历程包括计算机辅助设计、计算机辅助工程设计和电子设计自动化三个发展阶段。EDA技术应用在很多方面比如教学、科研、产品设计与制造等。
EDA技术的设计方法主要是数字设计方法
数字系统设计要求:
数据系统设计基本步骤
一、明确设计要求:拿到一个设计任务,首先要对它进行分析理解,将设计要求罗列成条,每一条都应是无异议的,这一步主要明确设计系统的逻辑功能及性能指标,在明确了设计要求之后应能画出系统的简单示意方框图,标明输入、输出信号及必要的指标。
二、确定系统方案:明确了设计系统之后,就要确定实现系统功能的原则和方法,这是最具创造性的工作。同一功能可能有不同的实现方案,而方案的优劣直接关系到系统对到系统的质量及性能价格比,由此要反复比较与权衡。常用方框图、流程图或描述系统方案。系统方案确定后要求画出系统方框图,详细的流程图或描述性语言写出算法,如有需要与可能还应画出必要的时序波形图。
EDA课程设计
课程设计任务书
课程名称:EDA技术与应用
起讫时间:第18周
院系:电子信息工程系
班级:
指导教师:
系主任:
一、课程设计课题
设计任意波形产生器
二、课程设计要求
电路要求可以产生方波、正弦波、三角波,波形的频率可调,通过控制开关控制产生的波形,并通过控制按键控制设计信号的频率,在完成以上设计功能的要求后,设计一特殊信号的产生电路,具体要求参阅2009年苏州AMD电子设计大赛的赛题要求,示意波形如下图。
三、课程设计工作量
6月20、21号进行电路原理的讲述及程序的编写
6月22、23进行程序的编写调试与仿真
6月24号进行设计报告的撰写
四、课程设计说明书内容(有指导书的可省略)
1.写出电路的工作原理与电路图;
2.画数电路详细框图;
3.画出电路的控制器的详细流程图,并分析其状态改变过程;4.画出电路的工作时序电路图;
5.写出各模块的源程序;
6.叙述各模块电路工作原理;
7.制作电路并说明电路的调试情况;
8.书写报告应结构合理,层次分明,在分析时注意语言的流畅。
课程设计说明书名称任意波形产生电路设计
2012年6月11日至2011年6月15日共1 周
院系电子信息工程系
班级
姓名
系主任
教研室主任
指导教师
目录
目录 (1)
第一章绪论 (7)
1.1简单概述EDA技术 (7)
1.2发展历程 (7)
1.2.1.EDA技术的设计方法 (7)
1.2.2.数字系统设计模型及基本步骤 (8)
第二章任意波形产生器介绍 (9)
2.1简单介绍任意波形产生器的应用场合 (9)
2.2系统构成 (10)
第三章任意波形产生器的设计 (10)
3.1设计要求: (10)
波形发生器(课程设计)
波形发生器的设计
1.设计目的
(1)掌握用集成运算放大器构成正弦波、方波和三角波函数发生器的设计方法。
(2)学会安装与调试由分立器件与集成电路组成的多级电子电路小系统。
2.设计任务
设计一台波形信号发生器,具体要求如下:
(1)输出波形:正弦波、方波、三角波。
(2)频率范围:3Hz -30Hz ,30Hz -300Hz ,300Hz -3KHz ,3KHz -30KHz 等4个波段。
(3)频率控制方式:通过改变RC 时间常数手控信号频率。
(4)输出电压:方波峰—峰值V U pp 24≤;三角波峰-峰值V 8U pp =,正弦波峰
-峰V 1U pp >。
3.设计要求
(1)完成全电路的理论设计
(2)参数的计算和有关器件的选择
(3)PCB 电路的设计
(4)撰写设计报告书一份;A3 图纸2张。报告书要求写明以下主要内容:总体方案的选择和设计 ;各个单元电路的选择和设计;PCB 电路的设计
4、参考资料
(l )李立主编. 电工学实验指导. 北京:高等教育出版社,2005
(2)高吉祥主编. 电子技术基础实验与课程设计. 北京:电子工业出版社,2004
(3)谢云,等编著.现代电子技术实践课程指导.北京:机械工业出版社,2003
目录
一. 设计的方案的选择与论证 (3)
1.1 设计方案 (3)
1.1.1 设计方案1 (3)
1.1.2 设计方案2 (4)
1.1.3 设计方案3 (5)
1.2 方案选择 (6)
二. 单元电路的设计 (6)
2.1 方案设计 (6)
2.1.1 正弦波电路 (6)
2.1.2 方波电路 (11)
基于EDA技术的正弦波方波三角波锯齿波四种波形发生器
梧州学院课程论文
(2014 -2015学年第2学期)
课程论文题目:基于EDA技术的正弦波、方波、三角波、锯齿波、四种波形发生器
学生姓名:
目录
一、系统设计目的与要求.........................................
1.1、前言..................................................
1.2、功能要求:............................................
1.3、设计目的:............................................
二、设计方案以及原理说明.......................................
2.1、设计方案..............................................
2.2、原理说明..............................................
三、设计内容...................................................
3.1、正弦波发生器..........................................
3.2、方波发生器............................................
3.3、三角波发生器..........................................
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
EDA课程设计--任意波形发生器
E
D
A
大
作
业
学院:电子信息学院
专业:通信专业102班
姓名:许文博
学号:41003030210
EDA技术概述
EDA是电子设计自动化(Electronic Design Automation)缩写,是90年代初从CAD(计算机辅助设计)、CAM(计算机辅助制造)、CAT(计算机辅助测试)和CAE(计算机辅助工程)的概念发展而来的。EDA技术是以计算机为工具,根据硬件描述语言HDL( Hardware Description language)完成的设计文件,自动地完成逻辑编译、化简、分割、综合及优化、布局布线、仿真以及对于特定目标芯片的适配编译和编程下载等工作。典型的EDA工具中必须包含两个特殊的软件包,即综合器和适配器。综合器的功能就是将设计者在EDA平台上完成的针对某个系统项目的HDL、原理图或状态图形描述,针对给定的硬件系统组件,进行编译、优化、转换和综合,最终获得我们欲实现功能的描述文件。综合器在工作前,必须给定所要实现的硬件结构参数,它的功能就是将软件描述与给定的硬件结构用一定的方式联系起来。也就是说,综合器是软件描述与硬件实现的一座桥梁。综合过程就是将电路的高级语言描述转换低级的、可与目标器件FPGA/CPLD相映射的网表文件。
任意波形信号发生器的概述
随着信息科技的发展,波形发生器在科技社会等多个领域发挥着越来越重要作用。采用EDA技术利用MAX+PLUSII软件平台,设计的多功能波形发生器系统,大大简化其结构,降低成本,提高了系统的可靠性和灵活性。设计中运用计数器,数据选择器,对所需的频率进行选择和同步。使用宏功能模块存储波形。然后多波形进行幅度的选择。产生满足需要的不用频率和幅度的波形。
任意波形产生器构成:
上图为任意波形发生器的构成图,sel为控制波形输出。
Kk为分频模块,与k步长调整波形输出的频率。输出8位数字信号经过D/A转换输出负波形,再经过1:1比例反向放大器输出正向波形,施密特触发电路输出方波然后经测频模块由数码管显示出频率。
仿真产生的波形如下:
正弦波
三角波
方波
示波器显示如下图:
方波
三角波
正弦波
电路模块组成部分:
分频模块
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
ENTITY CT74161 IS
PORT(clk : IN STD_LOGIC;
clk_div2 : OUT STD_LOGIC;
clk_div4 : OUT STD_LOGIC;
clk_div8 : OUT STD_LOGIC;
clk_div16 : OUT STD_LOGIC);
END CT74161;
ARCHITECTURE rtl OF CT74161 IS
SIGNAL count : STD_LOGIC_VECTOR(3 DOWNTO 0); BEGIN
PROCESS(clk)
BEGIN
IF (clk'EVENT AND clk='1') THEN
IF(count="1111") THEN Count <= (OTHERS =>'0');
ELSE
Count <= count +1;
END IF ;
END IF ;
END PROCESS;
clk_div2 <= count(0);
clk_div4 <= count(1);
clk_div8 <= count(2);
clk_div16 <= count(3);
END rtl;
频率选择模块
library ieee;
use ieee.std_logic_1164.all;
entity tiaopin is
port(s1,s0: in std_logic;
a,b,c,d: in std_logic;
y: out std_logic);
end tiaopin;
architecture one of tiaopin is
signal s: std_logic_vector(1 downto 0);
signal y_temp: std_logic;
begin
s<=s1&s0;
process(s1,s0,a,b,c,d)
begin
case s is
when "00"=>y_temp<=a;
when "01"=>y_temp<=b;
when "10"=>y_temp<=c;
when "11"=>y_temp<=d;
when others=>y<='X';
end case;
end process;
y<=y_temp;
end one;
波形选择模块
library ieee;
use ieee.std_logic_1164.all;
entity DECODER is
port(a0,a1,a2,s0,s1,s2:in std_logic;
y0,y1,y2,y3,y4,y5,y6,y7:out std_logic); end DECODER;
architecture ymq of DECODER is
signal a:std_logic_vector(2 downto 0);
begin