EDA课程设计--任意波形发生器
采用EDA技术的波形发生器设计
学号:常州大学毕业设计(论文)(2012届)题目学生学院专业班级校内指导教师专业技术职务校外指导老师专业技术职务二○一二年六月采用EDA技术的波形发生器设计摘要:本课题设计了一种采用VHDL硬件描述语言描述并用FPGA实现的正弦波发生器。
本课题的研究结合了FPGA控制、LCD字符显示、DAC芯片驱动等相关知识,运用VHDL语言描述了一个具有幅度可控、频率可调和失真较小的正弦波发生器。
设计平台为Altera公司的Quartus II 8.0软件,采用Altera公司的Cyclone系列FPGA实现。
本设计中的DAC模块采用TLC5620集成芯片来实现,以此来简化设计,并达到本课题的精度要求。
本文详细介绍了正弦波发生器的设计过程,包括系统软件方案设计、系统硬件方案设计、芯片选型、编译仿真平台选择、功能模块划分、时钟分频模块设计、主控模块设计、按键去抖模块设计、TLC5620驱动模块设计和LCD显示模块等部分的设计与实现,对深入研究EDA技术和波形发生器具有重大的意义。
关键词:EDA;FPGA;VHDL;正弦波发生器Waveform Generator Design Using EDA TechnologyAbstract:This paper designs a sine wave generator using FPGA and VHDL language. The research of this paper is a combination of the FPGA controller, the LCD display, the DAC chip drivers and other related knowledge. We use the VHDL language to describe controllable amplitude, frequency adjustable sine wave generator. The design platform is Altera Quartus II 8.0 software and Altera's Cyclone series FPGA chip. In order to simplify the design and achieve the accuracy requirements of this subject, the design of the DAC module uses the TLC5620 chip.This paper describes the design process of the sine wave generator, including system software design, system hardware design, chip selection, the choice of the compile and simulation platform, the clock frequency module design, the main control module design, key debounce module design, the TLC5620 drive module design and the LCD display module design. The design and realization give me a good chance to understand the EDA technologies and waveform generator.Key words:EDA; FPGA; VHDL; Sine Wave Generator目录1引言 (1)1.1研究背景 (1)1.2波形发生器的现状 (1)1.3本文结构及内容安排 (2)2EDA开发技术概述 (3)2.1EDA技术的含义 (3)2.2EDA的工程设计流程 (3)2.3Quartus II 8.0简介 (4)3方案设计与比较 (5)3.1系统功能要求 (5)3.2系统框图 (5)3.3软件方案分析与比较 (5)3.3.1波形函数方案 (5)3.3.2波形ROM方案 (6)3.3.3方案比较 (6)3.4硬件方案设计 (6)3.4.1设计平台与仿真工具选择 (6)3.4.2芯片选择方案 (7)4FPGA的介绍 (9)4.1FPGA的简介 (9)4.1.1背景 (9)4.1.2FPGA与单片机的区别 (10)4.1.3电路设计中FPGA的应用 (11)4.1.4产品设计 (11)4.1.5系统级应用 (11)5系统设计 (12)5.1系统组成及工作原理 (12)6FPGA实现与仿真 (13)6.1顶层模块图 (13)6.2模块详细设计 (13)6.2.1主控模块 (13)6.2.2时钟分频模块 (15)6.2.3按键去抖模块 (16)6.2.4波形存储模块 (17)6.2.5TLC5620驱动模块 (18)6.2.6LCD显示模块 (19)6.3FPGA的实现功能 (19)7结论 (21)参考文献 (22)致谢 (23)附录 (24)1引言1.1研究背景随着现代化集成电路和计算机技术的不断飞跃发展,使得电子产品的设计在市场上的应用更为广泛,而且其实现方法的选择也变得越来越多。
EDA课程设计_多功能波形信号发生器
目录摘要 (1)一、设计要求 (3)三、系统设计思路 (4)3.1 波形函数发生装置的选择 (4)3.2 波形输入输出控制方式的选择 (5)四、各模块设计及仿真 (6)4.1函数发生模块 (6)4.1.1 正弦波模块 (6)4.1.2 方波模块 (7)4.1.3 递增锯齿波模块 (9)4.1.4 递减锯齿波模块 (10)4.1.5 阶梯波模块 (12)4.1.6 三角波模块 (13)4.2调控模块 (15)4.2.1波形输出控制单元 (15)4.2.2波形输入控制单元 (16)4.2.3频率控制单元 (18)4.2.4幅度控制单元 (20)4.3 D/A转换器 (21)4.4 总电路 (24)五、硬件测试 (25)5.1编译 (25)5.2 引脚的锁定 (26)5.3编程下载 (27)5.4 硬件验证 (28)六、课程设计心得体会 (31)参考文献 (32)附录 (33)摘要本次设计课题为应用VHDL语言及MAX+PLUSII软件提供的原理图输入设计功能,组合电子线路的设计加以完成一个任意波形信号发生器。
它具有结构紧凑,性能稳定,设计结构灵活,方便进行多功能组成的特点,经济实用,成本低廉的特点。
可产生正弦波、方波、三角波、递增锯齿波、递减锯齿波以及阶梯波,并可使用示波器观察波形。
实现了系统信号实时快速测量,也为其广泛应用于实际领域创造了条件。
在实现过程中,将整体功能模块化,分为函数发生模块和调控模块。
在调控模块中实现了调频调幅以及对于波形的输入输出控制。
对于D/A转化器,本实验选择的是TLC7528,利用简单的8进制计数控制CS和WR端口的同步输出,实现数模转换的同时,保持相应位的同步实现。
在课程设计中遇到了诸多困难,在用示波器显示波形时,却总是得不到稳定的波形,后来发现在输入控制中,仅需要3位二进制数即能完成简单的8进制计数,自己却习惯性的用了8位,这使得分频现象严重,更改后即得到了了稳定的输出波形,经过反复修改调试,最终试验成功。
陈冲EDA课程设计_任意波形信号发生器
EDA课程设计任意波形信号发生器姓名: 陈冲班级: 07通信工程指导老师:孙惠章目录一.简述 (3)二.设计性能要求 (3)三.系统框图 (3)四.系统电路图 (3)五.基本工作原理 (4)六. 单元电路模块源程序及功能 (5)七.系统仿真波形 (10)八.引脚锁定 (11)九.实验结果及硬件验证 (11)十.实验心得 (13)任意波形信号发生器的设计一.简述随着信息科技的发展,波形发生器在科技社会等多个领域发挥着越来越重要作用。
采用eda技术利用quartus60软件平台,基于大规模可编程逻辑器件fpga设计的多功能波形发生器系统,大大简化其结构, 降低成本, 提高了系统的可靠性和灵活性。
设计中运用计数器,数据选择器,对所需的频率进行选择和同步。
使用宏功能模块存储波形。
然后多波形进行幅度的选择。
产生满足需要的不用频率和幅度的波形。
二.设计性能要求1.能输出正弦波,锯齿波,阶梯波,三角波,方波,矩形脉冲等八种波形。
2.具有幅度和频率的调整。
3.单元电路模块使用VHDL语言编写。
三.系统框图图1.任意波形信号发生器系统框图四.系统电路图图2.任意波形信号发生器系统电路图五.基本工作原理将要产生的波形数据存入波形存储器中, 然后在参考脉冲的作用下, 对输入的频率数据进行累加, 并将累加器输出的一部分作为读取波形存储器的地址, 将读出的波形数据经D/A 转换为相应的电压信号,D/A 转换器输出的一系列的阶梯电压信号经低通滤波器滤波后便输出了光滑的合成波形的信号。
选择八种基础波形为设计与实现的对象,而八个波形作为同一个任意波形发生器里的四个部分,是有着同一个输入与输出,因此在设计上还需要对波形进行选择与控制的部分,通过对时钟脉冲输入的选择,使得八个波形模块只有一个输入为时钟脉冲,其他三个模块则输入始终为0。
在波形输出时,设计一个模块控制输出的波形是所要求输出的波形,在时钟脉冲选择与输出波形选择两模块之间。
EDA毕业论文(设计)--基于FPGA的波形发生器设计 光学测试课程设计 模版+附件(程序,图片等)
摘要各种各样的信号是通信领域的重要组成部分,其中正弦波、三角波和方波等是较为常见的信号。
在科学研究及教学实验中常常需要这几种信号的发生装置。
为了实验、研究方便,研制一种灵活适用、功能齐全、使用方便的信号源是十分必要的。
本次关于产生三角波或其它任意波形的设计方案,不仅在理论和实践上都能满足实验的要求,而且具有很强的可行性。
该信号源的特点是:体积小、价格低廉、性能稳定、实现方便、功能齐全。
关键词:正弦波;三角波;FPGA;ABSTRACTVarious signal is an important part of telecommunication field, including sine wave, triangle wave and square-wave etc is more common signal. In scientific research and teaching experiment often need this several signal generator. In order to test, research is convenient, develop a flexible application, complete functions, use convenient source is very necessary.This about produce triangle wave andotner different kinds of waves of design scheme, not only in theory and in practice can satisfy experiment requirement, and has a strong feasibility. The signal features are: small volume, price cheap and stable performance and achieve convenient, complete function.Keywords: sine wave;Triangle wave;FPGA;目录摘要 01.前言 (2)2.FPGA工作原理 (3)3.FPGA基本特点 (4)4.系统设计 (5)4.1设计要求 (5)4.2总体设计方案 (5)4.2.1方案比较 (5)4.2.2系统组成及工作原理 (6)5.单元电路设计 (8)5.1输入模块设计 (8)5.2波形发生模块的设计 (8)5.3关于D/A转换模块的设计 (9)5.4滤波电路模块的设计 (10)6.软件设计与仿真 (11)6.1软件设计思路 (11)6.2系统仿真 (11)7.系统测试 (13)7.1测试使用的仪器 (13)7.2测试方法 (13)7.3指标测试和测试结果 (13)8.设计总结 (14)参考文献 (15)附录 (16)1.前言波形发生器是信号源的一种,它是具有信号源所具有的特点,更因它高的性能优势而备受人们青睐。
任意波形发生器设计
任意波形发生器设计一、设计目标和需求分析在进行任意波形发生器设计之前,首先需要明确设计目标和需求。
根据实际应用需求,我们需要设计一种具有以下特点的任意波形发生器:1.多种波形形状:能够产生包括正弦波、方波、三角波、锯齿波等多种波形形状的输出信号。
2.高精度输出:能够提供稳定、精确的波形输出,满足对波形频率、幅度、相位等参数的要求。
3.宽频率范围:能够在较宽的频率范围内产生波形信号,适应不同应用场景的需求。
4.灵活性和操作便捷:具备灵活的参数调节和操作界面,方便用户配置所需波形信号。
二、电路设计和构成基于以上需求,我们可以采用数字/模拟混合电路来设计任意波形发生器。
整体电路结构包括信号发生器、波形调节电路、滤波器、放大器和输出接口等几大部分。
1.信号发生器:信号发生器是生成基本信号的核心部分。
可以采用数字逻辑电路,通过编程控制产生不同形状的基本波形,例如正弦波、方波、三角波、锯齿波等。
可以使用存储器来存储基本波形的采样点,并通过数字模拟转换器(DAC)将数字信号转换为模拟信号。
2.波形调节电路:波形调节电路用于调整波形的频率、幅度和相位等参数。
通过调整振荡电路中的电阻、电容或电感等元件,实现对基本波形的变换和调节。
可以设计多种电路模块来完成这一任务,例如可变电容二极管电路、可调电阻电路等。
3.滤波器:滤波器用于对产生的波形信号进行滤波处理,除去高频或低频的杂散分量,保留所需频率范围内的信号。
可以采用各种类型的滤波器电路,例如RC滤波器、有源滤波器或数字滤波器等。
4.放大器:放大器用于增强波形信号的幅度,确保输出的信号具备足够的驱动能力,可以驱动接收端电路。
可以采用运放等放大电路,根据需要选择合适的增益。
5.输出接口:输出接口用于将产生的波形信号输出给外部设备。
可以设计多种类型的输出接口,例如模拟输出接口(BNC接口)、数字输出接口(USB接口)等,方便用户接入不同类型的设备。
三、实现方法和关键技术在设计任意波形发生器时,需要考虑以下关键技术和实现方法:1.数字信号处理技术:通过数字信号处理技术,实现对基本波形的生成、存储和输出。
EDA课程设计:任意波形产生器报告
目录第一章:绪论 (2)1.1概述EDA技术 (2)1.1.1 EDA技术的应用 (2)1.2数字通信系统模型简述 (3)1.2.1 DDS优点 (3)1.2.2 基于DDS技术的任意波形发生器 (3)第二章:任意波形产生器介绍 (4)2. 1 应用场合与作用 (4)2.2任意波形产生器系统的构成 (5)第三章:任意波形产生器的设计 (7)3.1设计要求 (7)3.2设计思路 (7)3.3设计流程 (8)3.4设计步骤及程序 (8)3.5 理论硬件调试结果 (14)第四章:课程设计总结 (16)参考文献 (17)第一章:绪论1.1概述EDA技术EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。
EDA技术就是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言HDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。
EDA技术是在电子CAD技术基础上发展起来的计算机软件系统,是指以计算机为工作平台,融合了应用电子技术、计算机技术、信息处理及智能化技术的最新成果,进行电子产品的自动设计。
利用EDA工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的整个过程的计算机上自动处理完成。
现在对EDA的概念或范畴用得很宽。
包括在机械、电子、通信、航空航天、化工、矿产、生物、医学、军事等各个领域,都有EDA的应用。
1.1.1 EDA技术的应用EDA技术在进入21世纪后,得到了更大的发展应用,突出表现在以下几个方面:1.在FPGA上实现DSP应用成为可能,用纯数字逻辑进行DSP模块的设计,使得高速DSP实现成为现实,并有力地推动了软件无线电技术的实用化和发展。
波形发生器(课程设计)
波形发生器的设计1.设计目的(1)掌握用集成运算放大器构成正弦波、方波和三角波函数发生器的设计方法。
(2)学会安装与调试由分立器件与集成电路组成的多级电子电路小系统。
2.设计任务设计一台波形信号发生器,具体要求如下:(1)输出波形:正弦波、方波、三角波。
(2)频率范围:3Hz -30Hz ,30Hz -300Hz ,300Hz -3KHz ,3KHz -30KHz 等4个波段。
(3)频率控制方式:通过改变RC 时间常数手控信号频率。
(4)输出电压:方波峰—峰值V U pp 24≤;三角波峰-峰值V 8U pp =,正弦波峰-峰V 1U pp >。
3.设计要求(1)完成全电路的理论设计(2)参数的计算和有关器件的选择(3)PCB 电路的设计(4)撰写设计报告书一份;A3 图纸2张。
报告书要求写明以下主要内容:总体方案的选择和设计 ;各个单元电路的选择和设计;PCB 电路的设计4、参考资料(l )李立主编. 电工学实验指导. 北京:高等教育出版社,2005(2)高吉祥主编. 电子技术基础实验与课程设计. 北京:电子工业出版社,2004(3)谢云,等编著.现代电子技术实践课程指导.北京:机械工业出版社,2003目录一. 设计的方案的选择与论证 (3)1.1 设计方案 (3)1.1.1 设计方案1 (3)1.1.2 设计方案2 (4)1.1.3 设计方案3 (5)1.2 方案选择 (6)二. 单元电路的设计 (6)2.1 方案设计 (6)2.1.1 正弦波电路 (6)2.1.2 方波电路 (11)2.1.3 三角波电路 (12)2.2 参数的选择 (13)三、仿真 (14)3.1 软件介绍 (14)3.2 仿真的过程与结果 (15)四、PCB制版 (15)4.1 软件简介 (15)4.2 PCB电路板设计步骤 (20)五、总结与心得 (21)六、附录 (22)6.1 材料清单 (22)6.2 原理图 (23)6.3 PCB板图 (24)七、参考文献 (25)一.设计方案的选择与论证产生正弦波、三角波、方波的电路方案有多种。
EDA课程设计基于FPGA的任意波形发生器
EDA课程设计__基于FPGA的任意波形发生器学院:通信与电子工程学院摘要本文主要探索了应用FPGA灵活可重复编程和方便在系统重构的特性,以Verilog HDL为设计语言,运用QuarrtusII软件,将硬件功能以软件设计来描述,提高了产品的集成度,缩短开发周期。
所设计的波形发生器可产生正弦波(sina_wave)、锯齿波(swat_wave)、矩形波(squr_wave)、三角波(trig_wave)四种信号,能够实现信号的转换并且频率可调;关键字:任意波形发生器FPGA Verilog HDL QuartusIIAbstractThis paper explored the application of flexible and reprogrammable FPGA and convenience features in the system reconfiguration to Verilog HDL design language, the hardware functions to software design to describe and improve the integration of products and shorten the development cycle. Waveform generator designed to produce sine wave (sina_wave), ramp (swat_wave), rectangular wave (squr_wave), triangular wave (trig_wave) four signals, to achieve signal conversion and frequency adjustable;Keywords: Arbitrary Waveform Generator FPGA Verilog HDL QuartusII目录摘要 (I)ABSTRACT (II)目录 (III)第1章绪论 (1)1.1概述 (1)1.2任意波形发生器的功能 (1)1.3国外发展现状 (2)第2章波形发生器的基本理论 (4)2.1 FPGA简介 (4)2.2Verilog语言简介 (4)2.2.1 Verilog语言概述 (4)2.2.2VerilogHDL基本结构 (5)2.3QuarrtusII概述 (6)第3章方案设计 (8)3.1 系统介绍 (8)3.2波形发生器各个模块设计 (9)3.2.1 Wave_gen 模块 (9)3.2.2 波形数据存储ROM 模块 (9)第4章波形发生器软件仿真 (11)4.1设计平台及仿真工具 (11)4.2仿真过程 (11)结论 (14)附录 (16)第1章绪论1.1 概述波形发生器是一种常用的信号源,广泛应用于电子电路,自动控制系统,教学实验等领域,目前使用出现了大量能够产生多种波形且性能稳定的任意波形发生器,但大多数方案都是基于串行或并行总线进行数据的传输,这种方案虽然成本较低,但系统的实时性较差,难以满足复杂波形的大数据量的传输要求。
电路CAD课程设计-波形发生器
电路CAD课程设计报告设计题目:波形发生器专业班级:电信0703班学号: 07002376学生姓名:王瑞成题目波形发生器一、设计任务与要求1.设计要求:使用集成运放设计一个波形发生器,产生一个频率为f o= 5 kHz的方波,其电压幅度为+V s = |-V s| = 14V。
同时产生一个频率为f o = 5kHz的三角波,其幅度为+Vt = |-V t| = 5V。
波形发生器方框图见图(图1)。
波形发生器方框图2.设计任务:(1)方波发生器设计;(2)三角波发生器设计;(3)电源电路设计。
二、方案设计波形发生器一般是指能自动产生正弦波、三角波、方波及锯齿波、阶梯波等电压波形的电压或仪器。
根据用途不同,有产生三种或多种波形的波形发生器,使用的器件可以是分立器件(如视频信号函数发生器S101全部采用晶体管),也可以采用集成电路(如单片函数发生器模块5G8038)。
本次波形发生器设计要求产生方波和三角波,矩形波发生电路是其它非正弦发生电路的基础,当方波电压加在积分运算电路的输入端是,输出就获得三角波电压。
而矩形波电压只有两种状态,不是高电平,就是低电平,所以电压比较器是它的重要组成部分;因为产生振荡,就要求输出的两种状态自动地相互转换,所以电路中必须引入反馈;因为输出状态应按一定的时间间隔交替变化,即产生周期性变化,所以电路中要有延迟环节来确定每种状态维持的时间。
系统原理框图如下示:模拟电路实现方案用正弦波发生器产生正弦波信号,然后用过零比较器产生方波,再经积分电路产生三角波,电路框图如上示。
此电路结构简单,且有良好的正弦波和方波信号。
但经过积分电路产生同步三角波信号,存在难度。
原因是积分器电路的积分时间常数是不变的,且随着方波信号频率的改变,积分电路输出的三角波的幅度同时改变。
若要同时保持三角波的输出幅度不变,须同时改变积分时间常数的大小。
系统原理框图如下示:波形发生器方框图若用运放完成,则选定用比较器,比较器器有好多种,比如单限比较器,滞回比较器,窗口比较器等等。
陈冲EDA课程设计_任意波形信号发生器
陈冲EDA课程设计_任意波形信号发生器任意波形信号发生器姓名: 陈冲班级: 07通信工程指导老师:孙惠章1目录一.简述 (3)二.设计性能要求 (3)三.系统框图 (3)四.系统电路图 (3)五.基本工作原理 (4)六. 单元电路模块源程序及功能 (5)七.系统仿真波形 (10)八.引脚锁定 (11)九. 实验结果及硬件验证 (11)十(实验心得 (13)2任意波形信号发生器的设计一.简述随着信息科技的发展~波形发生器在科技社会等多个领域发挥着越来越重要作用。
采用eda技术利用quartus60软件平台~基于大规模可编程逻辑器件 fpga 设计的多功能波形发生器系统~大大简化其结构 , 降低成本 , 提高了系统的可靠性和灵活性。
设计中运用计数器~数据选择器~对所需的频率进行选择和同步。
使用宏功能模块存储波形。
然后多波形进行幅度的选择。
产生满足需要的不用频率和幅度的波形。
二(设计性能要求1.能输出正弦波~锯齿波~阶梯波~三角波~方波~矩形脉冲等八种波形。
2.具有幅度和频率的调整。
3.单元电路模块使用VHDL语言编写。
三.系统框图图1.任意波形信号发生器系统框图四(系统电路图3图2.任意波形信号发生器系统电路图五(基本工作原理将要产生的波形数据存入波形存储器中, 然后在参考脉冲的作用下, 对输入的频率数据进行累加, 并将累加器输出的一部分作为读取波形存储器的地址, 将读出的波形数据经D/A 转换为相应的电压信号,D/A 转换器输出的一系列的阶梯电压信号经低通滤波器滤波后便输出了光滑的合成波形的信号。
选择八种基础波形为设计与实现的对象~而八个波形作为同一个任意波形发生器里的四个部分~是有着同一个输入与输出~因此在设计上还需要对波形进行选择与控制的部分~通过对时钟脉冲输入的选择~使得八个波形模块只有一个输入为时钟脉冲~其他三个模块则输入始终为0。
在波形输出时~设计一个模块控制输出的波形是所要求输出的波形~在时钟脉冲选择与输出波形选择两模块之间。
EDA课程设计--任意波形发生器
EDA课程设计一任意波形发生器DA大作业学院:电子信息学院专业:通信专业102班姓名:许文博学号:41003030210EDA技术概述EDA是电子设计自动化(Electronic Design Automation)缩写,是90年代初从CAD (计算机辅助设计)、CAM (计算机辅助制造)、CAT (计算机辅助测试)和CAE (计算机辅助工程)的概念发展而来的。
EDA技术是以计算机为工具,根据硬件描述语言HDL ( Hardware Description language)完成的设计文件,自动地完成逻辑编译、化简、分割、综合及优化、布局布线、仿真以及对于特定目标芯片的适配编译和编程下载等工作。
典型的EDA工具中必须包含两个特殊的软件包,即综合器和适配器。
综合器的功能就是将设计者在EDA平台上完成的针对某个系统项目的HDL、原理图或状态图形描述,针对给定的硬件系统组件, 进行编译、优化、转换和综合,最终获得我们欲实现功能的描述文件。
综合器在工作前,必须给定所要实现的硬件结构参数,它的功能就是将软件描述与给定的硬件结构用一定的方式联系起来。
也就是说,综合器是软件描述与硬件实现的一座桥梁。
综合过程就是将电路的高级语言描述转换低级的、可与目标器件FPGA/CPLD相映射的网表文件。
任意波形信号发生器的概述随着信息科技的发展,波形发生器在科技社会等多个领域发挥着越来越重要作用。
采用EDA技术利用MAX+PLUSII软件平台,设计的多功能波形发生器系统,大大简化其结构,降低成本,提高了系统的可靠性和灵活性。
设计中运用计数器,数据选择器,对所需的频率进行选择和同步。
使用宏功能模块存储波形。
然后多波形进行幅度的选择。
产生满足需要的不用频率和幅度的波形。
任意波形产生器构成:•上图为任意波形发生器的构成图,sel 为控制波形输出。
• Kk 为分频模块,与k 步长调整波形输出的频率。
输出8位数字信号经过D/A 转换输出负波形,再经过1: 1比例反向放大器输出正向波形,施密 特触发电路输出方波然后经测频模块由数码管显示出频率。
基于单片机eda技术的波形发生器的设计
基于单片机、eda技术的波形发生器的设计篇一:基于单片机的任意波形发生器的设计1 绪论在当今这个时代人们的生活水平不断提高,而产生方波、正弦波、三角波等波形的发生器存在一定的缺陷,现在我们应该需要一种能产生任意波形的发生器,它不单能产生传统的波形,还能输出它的频率、周期等功能,并且它的分辨率要求也是比较高的,还能清晰的看出波形图的幅值跟频率。
因此,本设计中的信号发生模块选择AD9833,主控制器选择STC89C52单片机来实现,这些芯片就能完成了任意信号发生器的设计了。
1.1 课题背景及意义在最近几年出现了一个能产生任意波形信号源的发生器,这就是任意波形发生器。
任意波形发生器比之前的波形发生器还利用了数模转化和微处理器等功能。
任意波形发生器能够根据人们的要求显示出不同的波形,其中波形的周期也是可以变化的。
它也可以产生一般发生器显示的波形,比如方波,三角波,正弦波等稳定周期的波形。
伴随着人类的进步,在工业上、电子上的发展不断加快,使人们对信号需要更深透的理解。
通信的发展都需要不同的信号来测试,在研究生物学领域的时候,也要接触脑波信号跟神经信号等电信号。
所以,任意信号发生器部分的信号源是由自动化部分的系统跟较少的测试方案一起组成的。
这种发生器的发展越来越快,在电学跟非电学领域中发展也很快。
目前,大多数仪器设备开始朝着低功耗、便携式、智能化和多功能方向发展。
大多数新型的函数信号发生器都不再采用分立元件的方法,主要是因为采用分立元件制作的信号发生器的功耗较大,并且最终实现设计的体积也非常的大,此外这些信号发生器输出频率稳定度和精度都较差。
DDS技术的出现为智能化、高精度和高稳定度的信号发生器的设计奠定了基础。
DDS技术是一种全数字频率合成技术。
它是利用一段数据链来通过数模转换而产生之前所确定的一个模拟信号,原因是它没有锁相环跟震荡元件的环节。
为了能使显示出来的信号频率与它的转换速度为准确的,这就得需要将它的方法跟理论结合起来,才能确保它的功能正常工作。
基于单片机、EDA技术的波形发生器的设计
基于单片机、EDA技术的波形发生器的设计该波形发生器以单片机(MCS8031)为中心控制单元,由键盘输入模块、数码管显示模块、D/A 波形发生模块、幅值调整模块组成。
采用DDFS 技术,先将要求的波形数据存储于EEPROM 中,这样可以保证掉电以后波形数据不丢失。
为了达到所要求的高速度,采用FPGA(ALTEAR 公司的EPF10K10LC84-4, 晶振频率可达40MHz)来实现波形的发生,通过DDFS 技术(直接数字频率合成技术)、VHDL 语言和单片机汇编语言编程技术的完美结合实现了对正弦波、方波和三角波三种波形的频率、幅值的设置和发生。
随着深亚微米半导体技术的进步,可编程逻辑器件及EDA 技术的应用已经相当普及。
基于可编程逻辑器件的高频性质和单片机强大的数据处理功能,二者结合的技术也有相当的影响力。
本设计中涉及到单片机汇编语言、VHDL语言的运用,充分地利用了二者的优点,制作了一套波形发生系统。
1 系统设计整体设计由三大模块组成:单片机控制部分、可编程逻辑器件(FPGA)部分、模拟电路部分。
其系统方框原理1.1 单片机部分在设计中,采用MCS8031 为处理器,P2.7 作为8279 的片选端,P2.6 作为FPGA 的片选端,P2.5 为DAC0832(a)的片选端。
P0 口作为三者的低8 位地址和数据线。
单片机控制DAC0832(a),使其输出为0~5V 可变电压,作为DAC0832(b)的参考电压,于是可控制输出波形的幅值。
由于采用7 位数据控制,精度可达到0.039V。
1.2 FPGA 模块设计中我使用了ALTRA 公司的MAXPLUSⅡ10.0 系统,采用原理在。
- 1、下载文档前请自行甄别文档内容的完整性,平台不提供额外的编辑、内容补充、找答案等附加服务。
- 2、"仅部分预览"的文档,不可在线预览部分如存在完整性等问题,可反馈申请退款(可完整预览的文档不适用该条件!)。
- 3、如文档侵犯您的权益,请联系客服反馈,我们会尽快为您处理(人工客服工作时间:9:00-18:30)。
EDA课程设计--任意波形发生器EDA大作业学院:电子信息学院专业:通信专业102班姓名:许文博学号:41003030210EDA技术概述EDA是电子设计自动化(Electronic Design Automation)缩写,是90年代初从CAD(计算机辅助设计)、CAM(计算机辅助制造)、CAT(计算机辅助测试)和CAE(计算机辅助工程)的概念发展而来的。
EDA技术是以计算机为工具,根据硬件描述语言HDL( Hardware Description language)完成的设计文件,自动地完成逻辑编译、化简、分割、综合及优化、布局布线、仿真以及对于特定目标芯片的适配编译和编程下载等工作。
典型的EDA工具中必须包含两个特殊的软件包,即综合器和适配器。
综合器的功能就是将设计者在EDA平台上完成的针对某个系统项目的HDL、原理图或状态图形描述,针对给定的硬件系统组件,进行编译、优化、转换和综合,最终获得我们欲实现功能的描述文件。
综合器在工作前,必须给定所要实现的硬件结构参数,它的功能就是将软件描述与给定的硬件结构用一定的方式联系起来。
也就是说,综合器是软件描述与硬件实现的一座桥梁。
综合过程就是将电路的高级语言描述转换低级的、可与目标器件FPGA/CPLD相映射的网表文件。
任意波形信号发生器的概述随着信息科技的发展,波形发生器在科技社会等多个领域发挥着越来越重要作用。
采用EDA技术利用MAX+PLUSII软件平台,设计的多功能波形发生器系统,大大简化其结构,降低成本,提高了系统的可靠性和灵活性。
设计中运用计数器,数据选择器,对所需的频率进行选择和同步。
使用宏功能模块存储波形。
然后多波形进行幅度的选择。
产生满足需要的不用频率和幅度的波形。
任意波形产生器构成:上图为任意波形发生器的构成图,sel为控制波形输出。
Kk为分频模块,与k步长调整波形输出的频率。
输出8位数字信号经过D/A转换输出负波形,再经过1:1比例反向放大器输出正向波形,施密特触发电路输出方波然后经测频模块由数码管显示出频率。
仿真产生的波形如下:正弦波三角波方波示波器显示如下图:方波三角波正弦波电路模块组成部分:分频模块LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY CT74161 ISPORT(clk : IN STD_LOGIC;clk_div2 : OUT STD_LOGIC;clk_div4 : OUT STD_LOGIC;clk_div8 : OUT STD_LOGIC;clk_div16 : OUT STD_LOGIC);END CT74161;ARCHITECTURE rtl OF CT74161 ISSIGNAL count : STD_LOGIC_VECTOR(3 DOWNTO 0); BEGINPROCESS(clk)BEGINIF (clk'EVENT AND clk='1') THENIF(count="1111") THEN Count <= (OTHERS =>'0');ELSECount <= count +1;END IF ;END IF ;END PROCESS;clk_div2 <= count(0);clk_div4 <= count(1);clk_div8 <= count(2);clk_div16 <= count(3);END rtl;频率选择模块library ieee;use ieee.std_logic_1164.all;entity tiaopin isport(s1,s0: in std_logic;a,b,c,d: in std_logic;y: out std_logic);end tiaopin;architecture one of tiaopin issignal s: std_logic_vector(1 downto 0);signal y_temp: std_logic;begins<=s1&s0;process(s1,s0,a,b,c,d)begincase s iswhen "00"=>y_temp<=a;when "01"=>y_temp<=b;when "10"=>y_temp<=c;when "11"=>y_temp<=d;when others=>y<='X';end case;end process;y<=y_temp;end one;波形选择模块library ieee;use ieee.std_logic_1164.all;entity DECODER isport(a0,a1,a2,s0,s1,s2:in std_logic;y0,y1,y2,y3,y4,y5,y6,y7:out std_logic); end DECODER;architecture ymq of DECODER issignal a:std_logic_vector(2 downto 0);begina<=a2&a1&a0;process(a,s0,s1,s2)variable y:std_logic_vector(7 downto 0);beginif(s2='0' and s1='0' and s0='1')thencase a iswhen"000"=>y:="11111110";when"001"=>y:="11111101";when"010"=>y:="11111011";when"011"=>y:="11110111";when"100"=>y:="11101111";when"101"=>y:="11011111";when"110"=>y:="10111111";when"111"=>y:="01111111";when others=>y:="XXXXXXXX";end case;elsey:="11111111";end if;y0<=y(0);y1<=y(1);y2<=y(2);y3<=y(3);y4<=y(4);y5<=y(5);y6<=y(6);y7<=y(7);end process;end ymq;输出波形选择模块library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity lpm isport (s1,s2,s3:in std_logic;a,b,c,d,e,f,g,h:in std_logic_vector(7 downto 0); z:out std_logic_vector(7 downto 0));end lpm;architecture one of lpm issignal s:std_logic_vector(2 downto 0);begins<=s3&s2&s1;process (s1,s2,s3,a,b,c,d,e,f,g,h)begincase s iswhen "000"=>z<=a;when "001"=>z<=b;when "010"=>z<=c;when "011"=>z<=d;when "100"=>z<=e;when "101"=>z<=f;when "110"=>z<=g;when "111"=>z<=h;when others=>null ;end case;end process;end one;幅度控制模块library ieee;use ieee.std_logic_1164.all;entity tiaofu isport(a,b,c: in std_logic;sel: out std_logic_vector(2 downto 0)); end tiaofu;architecture three of tiaofu isbeginsel<=a&b&c;end three;11。